Chisel3 - Tutorial - FullAdder

https://mp.weixin.qq.com/s/Aye-SrUUuIP6_o67Rlt5OQ

 
全加器
 
逻辑图如下:
 
参考链接:
 
 
1. 引入Chisel3
 
 
2. 继承自Module类
 
 
3. 定义输入输出接口
 
创建输入和输出接口,包括:
a. 输入接口:加数a/b,输入进位cin;
b. 输出接口:和数sum, 输出进位cout;
 
这些接口都是无符号整型数:val a = Input(UInt(1.W))
a. 使用1.W表示位宽为1位;
b. 使用UInt创建无符号整型数;
c. 使用Input/Output表示接口方向;
d. val 关键字表明定义的变量是所属匿名Bundle子类的数据成员;
 
4. 内部连接
 
a. "=" 是Scala的赋值操作符,即方法调用 io.a.^(io.b) 的返回值:
 
b. ":=" 是Data类的连接方法:
即如下两行是等价的
从中也可以看到"<>"是bulkConnect方法,即批量连接;
 
c. ^ & |也都是方法名;
 
5. 生成Verilog
 
 
可以直接点运行符号运行。
 
也可以使用sbt shell执行:
 
生成Verilog如下:
 
6. 测试
 
参考链接:
 
创建FullAdderTester.scala:
 
 
7. 附录
 
FullAdder.scala:
import chisel3._

class FullAdder extends Module {
  val io = IO(new Bundle {
    val a    = Input(UInt(1.W))
    val b    = Input(UInt(1.W))
    val cin  = Input(UInt(1.W))
    val sum  = Output(UInt(1.W))
    val cout = Output(UInt(1.W))
  })

  // Generate the sum
  val a_xor_b = io.a ^ io.b
  io.sum := a_xor_b ^ io.cin
  // Generate the carry
  val a_and_b = io.a & io.b
  val b_and_cin = io.b & io.cin
  val a_and_cin = io.a & io.cin
  io.cout := a_and_b | b_and_cin | a_and_cin
}

object Main {
  def main(args: Array[String]): Unit = {
    chisel3.Driver.execute(Array("--target-dir", "generated/FullAdder"), () => new FullAdder)
//    chisel3.Driver.execute(args, () => new FullAdder)
  }
}
 
FullAdderTester.scala:
 
import chisel3.iotesters.{PeekPokeTester, Driver, ChiselFlatSpec}

class FullAdderTester(c: FullAdder) extends PeekPokeTester(c) {
  for (t <- 0 until 4) {
    val a    = rnd.nextInt(2)
    val b    = rnd.nextInt(2)
    val cin  = rnd.nextInt(2)
    val res  = a + b + cin
    val sum  = res & 1
    val cout = (res >> 1) & 1
    poke(c.io.a, a)
    poke(c.io.b, b)
    poke(c.io.cin, cin)
    step(1)
    expect(c.io.sum, sum)
    expect(c.io.cout, cout)
  }
}

object FullAdderTester {
  def main(args: Array[String]): Unit = {
    chisel3.iotesters.Driver(() => new FullAdder)(c => new FullAdderTester(c))
  }
}
 

 

转载于:https://www.cnblogs.com/wjcdx/p/10061022.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值