fir fpga 不同截止频率_毕设:基于FPGA的FIR数字滤波器设计

本文详细介绍了基于FPGA的FIR数字滤波器设计,包括理论基础、设计方法、MATLAB仿真及Verilog HDL实现。通过Matlab的FDAtool设计滤波器并转换为Verilog代码,实现11阶FIR滤波器,以处理特定频率信号。在Quartus II中进行了仿真验证,证实了设计的有效性。
摘要由CSDN通过智能技术生成
1c00dd30dd42e19882369548bcbd807b.gif大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。

今天给大侠带来在毕业设计之基于FPGA的FIR数字滤波器设计,仅供大侠参考,话不多说,上货。

本篇介绍基于FPGA的FIR数字滤波器设计,针对毕业设计要做的基本工作有如下几点:

(一)掌握有限冲击响应FIR(Finite Impulse Response, FIR)的基本结构,研究现有的实现方法,对各种方案和步骤进行比较和论证分析,然后针对目前FIR数字滤波器需要的特点,速度快和硬件规模小,作为指导思想进行设计计算。

(二)基于硬件FPGA的特点,利用Matlab软件以及窗函数法设计滤波器。对整个FPGA元件,计划采用模块化、层次化设计思想,从而对各个部分功能进行更为详细的理解和分工设计,最终FIR数字滤波器的设计语言选择 Verilog HDL硬件编程语言。

(三)设计中的软件仿真使用开发软件Quartus II,并且利用Matlab工具进行对比仿真,在仿真的过程中,对比证明,本设计的滤波器的技术指标已经全部达标。

5c7db206c66e3f33e53fdf6f88aac51c.png

目  录

1 绪 论

1.1 本课题研究意义

1.2 国内外研究现状分析

1.3 研究思路

1.4 相关概念说明

2 FIR数字滤波器的设计方法

2.1 理论部分

2.1.1  引言

2.1.2  FIR数字滤波器的基础

2.1.3  数字滤波器的设计原理

2.1.4  FIR数字滤波器的理论计算方式与参数转换思想

2.1.5  Matlab直接FDAtool设计方式解析

2.1.6 FDAtool设计模板及设计结果图

2.2程序分析部分

2.2.1FPGA 可编程逻辑元件介绍

2.2.2 QuartusⅡ及Verilog HDL介绍

2.2.3实际滤波器程序设计(11阶FIR数字滤波器)

2.2.4.VerilogHDL的实现

3 滤波器仿真滤波

3.1设置混合信号

3.2设置仿真参数

3.3 仿真总结

4 总结与展望

4.1 设计成果总结

4.2 设计心得

参考文献

1 绪 论

1.1 本课题研究意义

在现代通信信号处理领域中,随着各种精密计算和快速计算的发展对信号处理的实时性、快速性的要求越来越高。以往的模拟滤波器无法克服电压漂移、温度漂移和噪声等问题,从而带来了许多误差和不稳定因素。而数字滤波器具有稳定性高、精度高、设计灵活、实现方便等突出优点。

FPGA元器件在高速并行处理和数据传输中有独特优势,FPGA正在前端信号处理中越来越多地代替ASIC和DSP。我们需要的就是这种设计周期短,功能密度高,重组时间短的元器件。本文在FPGA元器件的基础上,实现现代FIR数字滤波器功能。并且研究多种快速的FIR数字滤波器的理论设计思想和程序设计方法。

1.2 国内外研究现状分析

1985年,Xilinx生产出了第一块FPGA元器件,由于它有着集成度高、方便易用、开发和上市周期短的绝对优势,使得FPGA器件在数字设计和电子生产中得到迅速普及和应用,发展潜力十分巨大。现在FPGA已经发展到可以利用硬件乘加器、片内储存器、逻辑单元、流水处理技术等特有的硬件结构,高速完成FFT 、FIR 、复数乘加、卷积、三角函数以及矩阵运算等数字信号处理。这样可以完成信号处理的主要技术,如中频采样、参数估计、自适应滤波、脉冲压缩、自适应波束形成和旁瓣对消等。

1.3 研究思路

通过对目前数字滤波器的几种实现方法的简单分析,本文认为基于FPGA的数字滤波器具有许多优点,本文考虑到信息技术的发展对于数字滤波器的要求越来越高,而目前FIR数字滤波器的性能还不完善,于是选择了基于FPGA的数字滤波器作为主要研究内容,通常滤波器在进行数据处理时用到了卷积运算,在设计中的解决这些乘法运算的思路是将它们转换成加减法,这是目前解决乘法运算的主流思想。设计初期在Matlab下对滤波器原理进行证明包括(零极点图、时域和频域分析图等),分析FIR数字滤波器的多种理论设计思想和窗函数选择方法,在设计后期对FIR数字滤波器的小数乘法问题进行单独论证。然后对分析出来的问题进行论证和解决,最后在Quartus Ⅱ中进行仿真验证。

1.4 相关概念说明

数字滤波器(Digital filter)是由数字乘法器、加法器和延时单元组成的一种装置。其功能是对输入离散信号的数字代码进行运算处理,以达到改变信号频谱的目的。可认为是一个离散时间系统按预定的算法,将输入离散时间信号转换为所要求的输出离散时间信号的特定功能装置FIR(Finite Impulse Response )由线性系统理论可知,在某种适度条件下,输入到线性系统的一个冲击完全可以表征系统。当我们处理有限的离散数据时,线形系统的响应(包括对冲击的响应)也是有限的。若线性系统仅是一个空间滤波器,则通过简单地观察它对冲击的响应,我们就可以完全确定该滤波器。通过这种方式确定的滤波器称为有限冲击响应(FIR)滤波器。

7559be6899eed64ce99bbd26b7a7f5ff.png

图1-1总体设计流程图

2 FIR数字滤波器的设计方法

2.1 理论部分

2.1.1  引言

数字滤波器的功能一般是用来变换时域或者频域中某些要求信号的属性,滤除信号中某一部分频率分量。经过数字滤波器的信号是让其频谱与数字滤波器的频率响应相乘从而得出新的结果。经过一个线性卷积过程,从时域上输入信号与滤波器的单位冲击响应作一个卷积和。下面是卷积定义式:

9cc2561d046b2ecb00a958bc4132f68e.png(1)

LTI数字滤波器在一般情况下分为有限脉冲响应(Finite impulse response)和无限脉冲响应(Infinite impulse response),FIR数字滤波器的设计方法和IIR滤波器的设计方法有很大的差别。因为其设计方向是选择有限长度的315b3a5f78ee0864312c8dde9eb0be2f.png,使频率响应函数86c4015cac699db4baf4573f9fd45878.png满足指标。数字滤波器正在用直接的电子计算机规范和算法进行分析的方式来逐渐代替传统的模拟滤波器的RLC元器件和放大电路。

2.1.2  FIR数字滤波器的基础

首先介绍FIR数字滤波器基本原理,在如下表格中对FIR和IIR数字滤波器进行了全面的比较:

表2-1两种滤波器特点比较分析

c97398fefc1e02a4d9b7e7780ebc7f16.png

通常情况下一般数字滤波器的N阶FIR数字滤波器基于输入信号x(n)的表达式为:

6c659e548c3ae7ad84110e4065a176c6.png  (2)

这个公式给我们了一个非常明了的直接型网络结构,该结构表现出N个乘法器,每次采样y(n)的内容是n次乘法和n-1次加法,然后做乘累加之和。如图2-1所示:

adfec68a3214ff76748db882cef5e076.png

图2-1 FIR滤波器直接型网络结构

从DSP的介绍中,第一类线性相位对h(n)的约束条件:

856fc2ac37e9fc97d12b96f2aaa961e5.png (3)

60d11f63b0aef0369297dc7c2ad47c3d.png(4)

由公式(3),(4)我们可以推出:

1bfd682a23b9d07e37f7e7034c76df4c.png (5)

移相并利用三角公式化简得到:

bf287f48ddc9ab256421b2d05667f5a5.png (6)

从数字信号处理学科中知道函数关于求和区间的中心(N-1)/2奇对称,于是我们要求和h(n)满足如下条件:

39331bd8ef46ddc0f0f40a121ad7c2af.png

其中对应的有:

f805137a6211d4b176f1b643fb3911ea.png(7)

52ef8a1856d22f567f5701d96865a863.png

图2-2 线性相位FIR滤波器结构

若h(n)呈现对称特性,即此具有线性相位的滤波器是FIR数字滤波器。滤波器的基础网络结构可以相互进行转换。

在前面本篇已经讨论过, FPGA的实现中将对各种方法进行比较,找出最优设计方式。从而达到减少资源占有和提高系统作业速度的目的,更好的体现实时性的数字滤波器优势。

2.1.3  数字滤波器的设计原理

在数字信号处理技术的研究中,一般是使用的三种设计方法:窗函数法,FDATool直接设计法,程序编译法。本文首先使用窗函数和Matlab软件共同进行设计。

随着技术的不断发展,Matlab软件能给设计者带来的数字信号处理工作已经非常的完善和多样了,设计者可以利用Matlab软件进行数字滤波器的设计和仿真,而且还可以用这款软件进行设计的优化。数字滤波器的一般设计步骤如下:

1.指标的确定

做任何工程或者设计,设计者都必须要有一个期望的指标用来限制设计范围。在很多的实际应用中,设计者常常都是使用数字滤波器做选频的工作。因此,指标的形式一半在频域中给出相位响应和幅度。相位响应的指标形式,一半是指系统在通频带中药有线性相位。幅度指标:绝对指标,它给出对幅度响应函数的要求,一般用于FIR滤波器的设计。相对指标,以分贝值的形式给出具体限制。

2.逼近目标

设计者做高频的时候大概都有一个模式,就是首先得到技术指标,然后利用我们的技术和工具让我们的产品去逼近这个指标。同理我们首先建立以个目标的数字滤波器模型。一般情况下都是采用理想的数字滤波器模型,然后去逼近我们想要的目标数字滤波器参数。

3.计算机仿真和性能优化分析

在工作中我们发现通过(1)、(2)之后本文会得到以差分、系统函数或者冲击响应这三种方式描述的滤波器。这个时候设计者可以利用计算进行仿真,在系统中分析技术指标和滤波结果是否是希望得到的结果。

6e3c1ff12371dbad5ee2ded05be346c5.png

图2-3各种理想数字滤波器的幅度频率响应

2.1.4  FIR数字滤波器的理论计算方式与参数转换思想

在理论上掌握了FIR数字滤波器的基本原理之后,本文需要对设计思想进行一个多方位的论证和尝试。首先设计者设计滤波器要有一个硬性的指标,这个指标可以是直接给出最基本的数据,也有多重表现形式。于是我例举

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值