java wait until,wait必须包含带有until子句的条件子句

代码中唯一可观察到的错误是:

ARCHITECTURE test_arch OF BoothMultiplier_32 IS

应该:

ARCHITECTURE test_arch OF BoothMultiplier_32_test IS

使用虚拟BoothMultiplier_32在您的实体和体系结构对之前并使用上述更正:

library ieee;

use ieee.std_logic_1164.all;

entity boothmultiplier_32 is

port (

dataa, datab : in std_logic_vector (31 downto 0);

result : out std_logic_vector (63 downto 0)

);

end entity;

architecture foo of boothmultiplier_32 is

begin

end architecture;

LIBRARY ieee;

USE ieee.std_logic_1164.all;

ENTITY BoothMultiplier_32_test IS

END BoothMultiplier_32_test;

ARCHITECTURE test_arch OF BoothMultiplier_32_test IS

SIGNAL A_test : STD_LOGIC_VECTOR (31 downto 0);

SIGNAL B_test : STD_LOGIC_VECTOR (31 downto 0);

SIGNAL result_test : STD_LOGIC_VECTOR (63 downto 0);

COMPONENT BoothMultiplier_32

PORT (

dataA, dataB : IN STD_LOGIC_VECTOR (31 downto 0);

result : OUT STD_LOGIC_VECTOR (63 downto 0)

);

END COMPONENT;

BEGIN

DUT1: BoothMultiplier_32

PORT MAP(

dataA=>A_test,

dataB=>B_test,

result=>result_test

);

testing : PROCESS

BEGIN

wait for 10 ns;

A_test<=x"0000000A";

B_test<=x"0000000A";

--wait for 10 ns;

--A_test<=x"10000000";

--B_test<=x"00000010";

--wait for 10 ns;

--A_test<=x"FFFFFFFF";

--B_test<=x"FFFFFFFF";

wait;

END PROCESS testing;

END ARCHITECTURE test_arch;

然后代码使用精心设计和运行目标boothMultiplier_32_test进行分析,详细说明和运行(虽然没有做任何有趣的事情但是显示正确的连接性) .

也许您可以告诉我们您遇到问题的工具是什么?

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值