计算机组成原理实验数据通路,《计算机组成原理》实验报告——数据通路

资料简介

南通大学信息科学技术学院

《计算机组成实验》

实验报告

实验名称 数据通路的设计与实现

班级 物联网工程 192

学生姓名 谢焘 学号 1930110689

指导教师 成耀

日 期 2021 年 6 月 16 日

实验 数据通路的设计与实现

一、实验目的

1.掌握算术逻辑运算单元(ALU)、寄存器堆和存储器的工作原理。

2.掌握包含 ALU、寄存器堆和存储器的数据通路的设计方法。

3.掌握包含 ALU、寄存器堆和存储器的数据通路的仿真测试方法。

4.训练学生分析问题与解决问题的能力,学会在出现故障的情况下,独立分析故障现象并排除故障。

二、实验任务

利用Verilog HDL设计顶层电路模型,把前面实验设计的运算器通路模块与存储器实验模块进行连

接,完成完整数据通路的搭建。整个电路总线结构的形式自行设计。要求在Vivado环境下,完成仿真

测试。

所设计电路应满足以下要求:

①运算器可以实现对来自寄存器堆的数据进行各种算术逻辑运算,并将结果存入寄存器堆的某个

通用寄存器(寄存器0除外。依据MIPS的规定,寄存器0的值恒为0);

②寄存器堆的某个通用寄存器中的数据可以存入存储器;

③可以从存储器中读出数据,并存入寄存器堆的某个通用寄存器(寄存器0除外)。

三、实验预习

(1)实验电路原理及信号说明

主要元器件说明:

REGFILE 寄存器堆

DATAMEM 数据寄存器 RAM

MUX2X32 32 位 2 选 1

  • 3
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值