JAVA的移位操作

当需要进行乘除法的时候,java支持移位操作,可以加快程序运行速度,减少jvm消耗

乘法

如 i=i*2 等同于 i=i<<1 ,向左移动1位效果等同于乘以2

如 i=i*8 等同于 i=i<<3,向左移动3位效果等同于乘以8

除法

如 i=i/2 等同于 i=i>>1 ,向右移动1位效果等同于除以2,取模

如 i=i/8 等同于 i=i>>3,向右移动3位效果等同于除以8,取模

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值