4位格雷码的顺序编码_八位格雷码转换器.doc

八位格雷码转换器

物理与信息技术系本科学年论文

题  目八位格雷码转换器设计

学生姓名

专业名称 测控技术与仪器

指导教师

2009年 9月30日

八位格雷码转换器设计

摘要8 Gray code converter design

Abstract:In order to reduce conversions between binary noise, so the Gray code into binary code. Through the exclusive-OR gate to the conversion, retention high, low, or come to different Gray code with each other. When given in eight different output signal, which can produce 256 Gray code, and the relatively short time of conversion. This paper focuses on eight Gray code into binary conversion methods and principles.

Key words:Gray codes;bin;conversion

目 录

1格雷码介绍1

2二进制格雷码与自然二进制码的互换2

2.1自然二进制码转换成二进制格雷码2

2.1.1 八位二进制转换成八位格雷码2

2.2二进制格雷码转换成自然二进制码4

3二进制格雷码与自然二进制码互换的实现方法4

3.1自然二进制码转换成二进制格雷码4

3.1.1硬件实现法4

参考文献8

谢 辞9

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值