计算机组成课程设计 文库,计算机组成原理课程设计论文

41528d3028836879cd698677c3999917.gif计算机组成原理课程设计论文

1计算机组成原理课程设计报告设计题目:设计八位全加器院系:计算机科学与信息工程学院专业:计算机科学与技术班级:08 级六班 组长:董丹丹组员:田野 林子琪 纪蕾慕研 姜尚 刘璐刘洋 赵琼 哈尔滨师范大学计算机科学与信息工程学院2目 录1、课 程 设 计 要 求1.1 摘 要.31.2 课 程 设 计 问 题 描 述.3 1.3 课 程 设 计 任 务 要 求.32、概 要 设 计2.1 加 法 器 与 PLD、 EDA 的 基 本 概 念 .42.1.1 PLD 设计流程42.1.2 PLD的优点 .52.1.3 EDA概述 .52.2 Xilinx软件概述 .62.3 八位全加器设计流程 . 62.3.1 八位全加器的基本概述 .62.3.2 八位全加器的原理图 72.3.3 八位全加器测试向量的仿真波形图 .8三、详细设计3.1 创建新工程 93.1.1 启动 Xilinx ISE 9.1i 93.1.2 建立新工程 93.2 创建一个 chematic 源文件. 113.3 填加器件绘制原理图并且正确连接电路 123.3.1 八位全加器原理图 123.3.2 加输入/输出缓冲器和信号名 123.3.3 对程序进行语法检查 .133.4 仿真 .143.5 创建 Testbench 波形源文件 .14四、调试与测试结果分析4.1 测试向量的仿真 . 164.1.1 设置输入仿真波形 . 164.1.2 对波形进行仿真. 164.2 测试结果及分析. 17五、收获及体会5.1收获及体会.18六、参考文献6.1 参考文献. 197、附录 7.1 八位全加器代码 add.vf.20 3[摘要] 众所周知,算术逻辑单元(ALU)既能完成算术运算也能完成逻辑运算,是微处理器芯片中的一个十分重要的部件。但从基本算术运算的实现,我们可以看到所有的加、减、乘、除运算最终都能归结为加法运算。在 ALU 完成的操作中,逻辑操作是按位进行,各位之间彼此无关,不存在进位问题,这使得逻辑运算速度很快,且是一个常数,不需进行过多的优化工作.加法器是微处理器中最基本、最重要的模块,不仅在 A L U、乘法器、除法器中均包含加法器模块,而程序指针 P C 的自加、跳转指令的目标地址计算以及访存地址的获得也需要加法器来完成。从指令执行频率上看,算术逻辑单元、程序计数器、协处理器是 C P U 中使用频率最多的模块.一、课 程 设 计 要 求1.1 课 程 设 计 问 题 描 述众所周知,算术逻辑单元(ALU)既能完成算术运算也能完成逻辑运算,是微处理器芯片中的一个十分重要的部件。但从基本算术运算的实现,我们可以看到所有的加、减、乘、除运算最终都能归结为加法运算。在 ALU 完成的操作中,逻辑操作是按位进行,各位之间彼此无关,不存在进位问题,这使得逻辑运算速度很快,且是一个常数,不需进行过多的优化工作。但对于算术操作来说,因为存在进位问题,使得某一位计算结果的得出和所有低于它的位相关。因此,为了减少进位传输所耗的时间,提高计算速度,人们设计了多种类型的加法器,如行波进位(RIP))~I 法器、跳跃进位加法器(CSKA:Carry—SKip Adders)、进位选择加法器(CSLA:Carry—SeLect Adders)、超前进位加法器(CLA:Carry—Lookahead Adders)等。它们都是利用各位之间的状态( 进位传递函数 P、进位产生函数 G等)来预先产生高位的进位信号,从而减少进位从低位向高位传递的时间。要求掌握使用 Xilinx 软件对用可编程逻辑器件 PLD 进行开发与设计,利用可编程逻辑器件 PLD(programmable logic device) Xilinx 软件对一位全加器的进行合理正确的设计并且进行输入信号的测试。用门电路设计一个一位二进制全加器。要求输入两个加数 Ai、Bi 和一个低进位Ci_1,得出本位和 Si 和向高位 Ci。1.2 课 程 设 计 任 务 要 求全加器是一个能对两个一位二进制数及来自低位的“进位”进行相加,产生本位“和”及向高位“进位”的逻辑电路。该电路有 3 个输入变量,分别是 2 个加数 Ai、Bi 和 1 个低进位 Ci_1,2 个输出变量,分别是本位 Si 和向高进位 Ci。在 Xilinx ISE 9.1 利用基本门电路中设计一位带进位加法器,通过该课程设计理解和掌握可编程逻辑器件 PLD 的应用和设计。主要目的就是了解和学习这门新技术的原理与应用,让同学们尽快掌握使用 EDA 进行设计的方法,为后续课程的学习打下良好的基础。4二、概 要 设 计2.1 加 法 器 与 PLD、 EDA 的 基 本 概 念 在数字电子系统领域,存在三种基本的器件类型:存储器、微处理器和逻辑器件。 存储器用来存储随机信息,如数据表或数据库的内容。 微处理器执行软件指令来完成范围广泛的任务,如运行字处理程序或视频游戏。 逻辑器件提供特定的功能,包括器件与器件间的接口、数据通信、信号处理、数据显示、时序和控制操作、以及系统运行所需要的所有其它功能。加法器是微处理器中最基本、最重要的模块,不仅在 A L U、乘法器、除法器中均包含加法器模块,而程序指针 P C 的自加、跳转指令的目标地址计算以及访存地址的获得也需要加法器来完成。从指令执行频率上看,算术逻辑单元、程序计数器、协处理器是 C P U 中使用频率最多的模块。加法器是为了实现加法的,即是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用。对于 1 位的二进制加法,相关的有五个的量:1,被加数 A,2,被加数 B,3,前一位的进位 CIN,4,此位二数相加的和 S,5,此位二数相加产生的进位 COUT。前三个量为输入量,后两个量为输出量,五个量均为 1 位。2.1.1 PLD 设计流程PLD 设计的大部分工作是在 EDA 软件工作平台上进行的,PLD 设计流程如图 1.1 所示。EDA 设计流程包括设计准备、设计输入、设计处理和器件编程 4 个步骤,以及相应的功能仿真、时序仿真和器件测试 3 个设计验证过程。 设 计 准 备 设 置 端 口 信 号值 编 辑 源 文 件 停 止 单 步 仿 真 单 步 仿 真 运 行 /停 止 仿 真 设 计 准备 设 计 输 入·原 理 图 硬 件 描 述 语 言波 形 图 功 能 仿 真 设 计 处 理 ·优 化 、 综 合 适 配 、 分 割 布 局 、 布 线 时 序 仿 真 器 件 编 程 器 件 测 试 设 计 完 成 图 1.1 PLD 设计流程52.1.2 PLD 的优点固定逻辑器件和 PLD 各有自己的优点。 例如,固定逻辑设计经常更适合大批量应用,因为它们可更为经济地大批量生产。 对有些需要极高性能的应用,固定逻辑也可能是最佳的选择。然而,可编程逻辑器件提供了一些优于固定逻辑器件的重要优点,包括:PLD 在设计过程中为客户提供了更大的灵活性,因为对于 PLD 来说,设计反复只需要简单地改变编程文件就可以了,而

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值