计算机组成原理认识fpga,计算机组成原理课程设计-基于EDA和FPGA技术的8位模型计算机的设计与实现_精品.doc...

计算机组成原理课程设计-基于EDA和FPGA技术的8位模型计算机的设计与实现_精品

目录

前言2

第一章 课程设计内容2

1.1 实验要求2

1.2 实验目的2

第二章 实验原理及方案2

2.1 实验原理2

2.2 实验方案2

2.2.1 指令系统………………………..……………………………………5

2.2.2 模型计算机硬件6

2.2.3 微指令设计6

2.2.3.1 微代码定义6

2.2.3.2 微代码具体设计7

2.2.4 控制台解释微程序8

2.2.5 运行指令流程图2

第三章 具体设计过程9

3.1 各个主要基本部件设计2

3.1.1 算术逻辑部件(ALU)2

3.1.2 程序计数器(PC)2

3.1.3 寄存器2

3.1.4 存储器2

3.2 模型机顶层框图设计2

第四章 程序测试和指令调试2

4.1 波形仿真2

4.2 实验调试2

第五章 设计总结与体会2

参考文献2

前言

计算机诞生以来,计算机还是主要由运算器、控制器组成CPU,用二进制数字表示计算机的操作指令和数据,将其存放在存储器中,通过CPU自动地从存储器提取指令和数据,并按照指定的顺序完成各项任务。这也改变了又来人们的生活。

同事随着大规模集成电路技术的开发,计算机组织设计与实现技术也在不断发展。现在,不仅软件可编程,硬件电路也可以编程所以,在这个时候,我们更要注重一台电子计算机的整体模型,而不是一味的强调怎样去追求这个时代的计算机发展速度。这已经成为我们为更新计算机硬件的必备知识。

让学生通过动脑和动手解决计算机设计中的实际问题,本次课题设计便是为此应运而生的。在这次课程设计当中,我们需要结合计算机组成原理的基本理论,利用EDA技术和FPGA技术设计实现一个8位模型计算机。因此,我们需要掌握ALU,微程序控制器,时序产生电路,数据通路,存储器,指令系统单元电路的设计方法,并且在此基础上,进一步将单元电路组成系统,构造一台基本模型计算机。

本报告便是通过对各关键部位进行单元和集成仿真测试后,下载到目标芯片里,最终形成一个功能较为完善的8位模型计算机系统。

课程设计内容

1.1 实验要求

在Quartus Ⅱ6.0上完成8位模型机的设计。具体的要求如下:

(1)在定义五条机器指令,并编写响应的微程序作为模型计算机的控制器;

(2)使用电路框图设计模型计算机电路,并下载编程芯片为定制的简单模型CPU.

(3)在实验系统上连接输入按键和输出液晶显示屏为输出的模型计算机系统。

1.2 实验目的

(1)深入理解基本模型计算机的功能和组成知识;

(2)深入学习计算机各类典型指令的执行流程;

(3)学习微程序控制器的设计过程和相关技术,掌握LPM_ROM的配置方法;

(4)掌握微程序的设计方法,学会编写二进制微指令代码表;

(5)在掌握部件单元电路实验的基础上,进一步将单元电路组成系统,构造一台基本模型计算机;

(6)通过这次的课程设计让学生通过动脑和动手解决计算机设计中的实际问题。综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计算机,掌握整机概念,并设计机器指令系统,编写程序,在所设计的模型计算机上调试运行。

第二章 实验原理及方案

2.1 实验原理

本实验将能在微过程控制下自动产生各部件单元控制信号,实现特定的功能。实验中,计算机数据通路的控制将由微过程控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期,全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。该模型机的总的数据通路如图2.1.1所示。

图2.1.1 模型机的数据通路

2.2 实验方案

2.2.1 指令系统

本实验采用五条机器指令。指令编码如下表:

表一 指令编码

助记符操作码addr地址码功能描述IN00H“INPUT”→R0,键盘输入数据ADD addr10HXXHR0+[addr] →R0STA addr20HXXHR0→[addr]OUT addr30HXXHBUS→“OUTPUT”,显示输出数据JMP addr40HXXHaddr→PC指令分单字节和双字节,单字节指令只有IN一条,其余都是双字节指令。指令各式如表二和表三。

表二 单字节指令格式

7 6 5 43 21 0操作码源寄存器目的寄存器

表三 双字节指令格式

7 6 5 4 3 2 1 07 6 5 4 3 2 1 0操作码操作数(内存地址码)

源和目的寄存器可以是三个工作寄存器之一,寄存器标号R0为00,R1为01,R2为10。

为了在试验台上用键盘输入程序和数据到内存,以及通过液晶屏显示输出,设计

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值