自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

FPGA/MATLAB学习教程/源码/项目合作开发

1.无线基带,无线图传,编解码 2.机器视觉,图像处理,三维重建 3.人工智能,深度学习 4.智能控制,智能优化等MATLAB仿真和FPGA实现

  • 博客(2967)
  • 资源 (2203)
  • 收藏
  • 关注

原创 FPGA/MATLAB常用开发软件下载,数学建模/MATLAB视频资料/FPGA资料/考研数学等各类相关资料分享

一些博主在开发过程中用到次数比较多的软件版本,如果有需要大家可以下载使用。其他一些少数次用到的版本,大家可以百度搜索下载。

2024-07-05 16:51:31 738 1

原创 ★教程4:FPGA/MATLAB/Simulink联合开发入门与进阶X例——目录

1.7 1024QAM调制解调系统的FPGA开发与matlab对比验证。1.6 256QAM调制解调系统的FPGA开发与matlab对比验证。1.6 16QAM调制解调系统的FPGA开发与matlab对比验证。1.7 32QAM调制解调系统的FPGA开发与matlab对比验证。1.5 64QAM调制解调系统的FPGA开发与matlab对比验证。1.1 ASK调制解调系统的FPGA开发与matlab对比验证。1.2 FSK调制解调系统的FPGA开发与matlab对比验证。

2024-02-29 06:08:01 2115 2

原创 ★教程3:Simulink学习教程入门60例目录

1.订阅本教程用户可以免费获得本博任意1个(包括所有免费专栏和付费专栏)博文对应代码;2.本Simulink课程的所有案例(部分理论知识点除外)均由博主编写而成,供有兴趣的朋友们自己订阅学习使用。未经本人允许,禁止任何形式的商业用途;3.本课程除了介绍常见的Simulink模块介绍之外,我们更侧重于各种实例的完整设计介绍。从通信,控制器,图像处理,语音处理,电力系统等诸多常用领域介绍了相关案例,如果对于某个较为复杂的案例,初学者无法正确复现,也可以私信博主获得完整Simulink模型文件。

2023-02-08 16:17:08 9680 2

原创 订阅FPGA/MATLAB/Simulink学习教程1~4系列,赠送1~2套本博客的代码案例

本博客所有博文所对应的MATLAB代码、FPGA代码包括MATLAB、Quartusii、Vivado、Simulink、System Generator等工具。------------------------------------------------------------------------------------------------------------------------------订阅MATLAB/FPGA学习教程,免费获得2份代码,获取步骤如下:步骤......

2022-03-24 22:08:43 38586 21

原创 ★教程2:fpga学习教程入门100例目录

★基础入门1.FPGA开发软件安装

2021-12-11 19:56:10 54602 23

原创 ★教程1:matlab学习教程入门100例目录

★基础入门1.为什么要学习MATLAB2.MATLAB安装持续更新.......★MATLAB基础编程语言熟悉

2021-12-11 17:26:56 42489 5

原创 在算法研究过程中如何进行算法创新

创新一直是一个令人纠结的话题,研究生毕业设计多数需要算法的创新,而博士生毕业更需要大量的创新才行。这里,我们就团队这几年来的工作经验,谈谈如何进行合理的算法创新。一、创新角度 通常,我们使用一个算法,这里举个简单的粒子,PSO粒子群优化算法,我们通过仿真,会得到该算法的收敛速度,仿真精度等一些参数...

2019-07-13 04:03:29 150134 1

原创 全数字锁相环的原理与matlab仿真

全数字锁相环路的工作原理:环路的输入信号通常为时间上连续的信号,如单频正弦波、模拟调频信号或移频键控信号等。环路的输出信号,即数字控制振荡器的输出信号为周期性脉冲序列,其周期可调且受数字滤波器输出信号的控制。在检测器中,由数控振荡器的输出脉冲序列对输入信号抽样,检测出脉冲序列与输入信号之间的相位差,并变换成数字信号作为检测器的输出信号。当系统未锁定时,相位检测器会检测到相位差,并生成相应的控制信号 E(t),该信号通过环路滤波器处理后,控制DCO的频率和相位,直至相位差趋近于零。另外,数字电路易于集成化。

2024-09-20 03:21:01 802

原创 基于多层极限学习机自动编码器的高光谱图像分类MATLAB仿真

高光谱图像(Hyperspectral Image, HSI)是一种具有数百个连续波段的遥感图像,每个像素点都包含丰富的光谱信息。这些数据在地物分类、目标检测、环境监测等领域有着广泛的应用。然而,高光谱图像的高维特性带来了“维度灾难”问题,使得传统的分类方法难以直接应用。近年来,深度学习技术,特别是自动编码器(Autoencoder, AE)和极限学习机(Extreme Learning Machine, ELM),在高光谱图像分类中表现出色。

2024-09-20 01:33:16 803

原创 【精品资源】基于Shi-Tomasi 角点检测和KLT算法的双目图像三维重建matlab仿真

基于Shi-Tomasi角点检测和KLT(Kanade-Lucas-Tomasi)特征追踪算法的双图像三维重建是一种广泛应用的技术,用于从不同视角的图像中恢复场景的三维结构。这种方法特别适用于立体视觉和运动估计等领域。

2024-09-17 05:20:54 901

原创 【教程4>第3章>第13节】16PSK解调系统的FPGA开发与matlab验证

16PSK是一种多相位调制技术,其中每个符号代表4个比特信息。在调制过程中,输入的二进制数据流被分割成4位一组的数据块,然后映射到复平面上的16个相位位置之一。假设输入的4位比特为b1​b2​b3b4​,它们可以映射到16个相位之一:0000:00001:22.50010:450011:67.50100:900101:112.50110:1350111:157.51000:1801001:202.51010:2251011:247.51100:2701101:292.5。

2024-09-17 04:15:43 702

原创 基于L2正则化项的正交人脸识别算法matlab仿真

人脸识别是一种生物识别技术,通过分析和比较人脸的视觉特征来识别人或验证人的身份。人脸识别技术通常包括以下几个步骤:1.:从图像或视频流中检测并定位人脸。2.:从检测到的人脸中提取关键特征,如眼睛、鼻子、嘴巴等区域的形状和位置。3.:将提取到的特征与数据库中的已知人脸特征进行比较,以确认身份或进行分类。4.:根据匹配结果做出最终决策,如身份确认、访问控制等。

2024-09-15 04:46:51 941

原创 【教程4>第3章>第12节】16PSK调制系统的FPGA开发与matlab验证

16点正交相移键控(16-Phase Shift Keying,简称16PSK)是一种数字通信调制技术,它利用载波的不同相位来传输信息。相比于其他类型的PSK调制(如BPSK或QPSK),16PSK可以在相同的带宽内传输更多的信息比特,但代价是复杂性和对信噪比的要求更高。16PSK调制技术通过将信息编码为载波的不同相位来实现数据传输。具体来说,它使用16个不同的相位来表示4比特的信息,因此可以看作是4比特PSK调制技术的一种实现。

2024-09-15 04:33:20 607

原创 【精品资源】基于FPGA的超高阶16384QAM星座点映射verilog实现

超高阶调制技术如16384-QAM(Quadrature Amplitude Modulation, 正交幅度调制)星座图映射是一种先进的数字通信技术,它能够在有限的频谱资源内传输更多的信息比特,从而提高数据传输速率。然而,高阶调制技术也面临着接收端解调复杂度增加、误码率升高等挑战。

2024-09-12 05:40:18 1097

原创 线性反馈移位寄存器的理论概述和应用

线性反馈移位寄存器(Linear Feedback Shift Register, LFSR)是一种广泛应用于密码学、通信系统和计算机科学中的重要工具。在很多领域上都有使用到LFSR,譬如说密码学、白噪声,还有我们这里的随机功能实现,之所以把它使用到我们的radio的随机功能里面,除了它可以产生伪随机数序列实现随机播放功能之外,更重要的是我们利用了它的两个特点。其一,只需要在代码中开辟几个byte的位置,就能够实现随机序列的产生,需要的空间很少。

2024-09-12 04:46:31 803

原创 【教程4>第3章>第11节】8PSK解调系统的FPGA开发与matlab验证

8PSK是一种多相位调制技术,其中每个符号代表三个比特信息。在调制过程中,输入的二进制数据流被分割成三位一组的数据块,然后映射到复平面上的八个相位位置之一。假设输入的三位比特为b1​b2​b3​,它们可以映射到八个相位之一:而在8PSK解调过程中,其主要包含去载波和低通滤波两个步骤。该模块的是利用已知的发送端载波频率的正弦波信号和接收采样所得的信号进行相乘,所得的结果包括两部分,即载波的倍频部分和基带部分,其中不考虑接收信号在传输过程中由于干扰导致的频率变化。

2024-09-08 22:20:42 1869

原创 基于卡尔曼滤波和粒子滤波的电池状态估计算法matlab仿真

电池状态估算是电动汽车和储能系统中的一个重要环节,它涉及到电池的荷电状态(State of Charge, SoC)、健康状态(State of Health, SoH)等参数的精确估计。卡尔曼滤波(Kalman Filter, KF)和粒子滤波(Particle Filter, PF)是两种广泛应用于电池状态估算的统计推断方法。

2024-09-08 17:56:07 1399

原创 【精品资源】雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号

脉冲压缩技术是指对宽脉冲信号进行调制,这种调制包括线性调频,非线性调频以及相位编码等多种方式,然后在接收端对回波宽脉冲进行脉冲压缩,最后得到窄脉冲的过程。脉冲压缩有效地解决了雷达作用距离与距离分辨率之间的矛盾,可以保证雷达在一定作用距离下提高距离分辨率。其中线性调频信号是最容易产生的一种脉压信号,其最大的优点是匹配滤波器对回波信号的频率偏移的不敏感性,当回波信号有较大的多普勒频率偏移的时候,匹配滤波器仍能起到起初的脉冲压缩作用。

2024-09-08 16:52:22 1742

原创 wcdma通信链路理论概述与误码率仿真

WCDMA 技术基于 CDMA (Code Division Multiple Access) 技术发展而来,其核心在于利用高速率的扩频码对信号进行扩频,使得多个用户可以在同一频带上同时通信,从而提高了频谱利用率。WCDMA 的通信链路由多个组成部分构成,包括但不限于发射端、接收端、信道以及信号处理模块。

2024-09-06 06:30:56 1172

原创 【教程4>第3章>第10节】8PSK调制系统的FPGA开发与matlab验证

8PSK (8-Phase Shift Keying) 调制是一种数字通信技术中的相位调制方式,它利用不同的相位来表示不同的信息符号。与传统的BPSK(二进制相移键控)和QPSK(四相移键控)相比,8PSK能够在一个符号内传输更多的比特信息,从而提高数据传输速率。下面详细介绍8PSK调制的原理、数学描述及其实现。8PSK调制使用八个不同的相位来表示三个比特的信息。在星座图中,这八个相位均匀分布在单位圆周上,每个相位对应于一个三比特的码字。

2024-09-06 06:16:45 780

原创 基于非平稳学习回报最大化的强化学习理论研究

在许多现实世界的任务中,环境的特性并不是固定不变的,而是随着时间的推移而发生变化。例如,在金融市场中,价格的波动性和市场趋势会随时间而变化;在交通管理系统中,车辆流量和交通灯的配时策略也会随时间而调整;在用户推荐系统中,用户的兴趣偏好可能会随时间而改变。因此,对于智能体来说,能够在非平稳环境中学习并适应这些变化是非常重要的能力。基于非平稳学习回报最大化的强化学习理论研究旨在解决环境特性随时间变化时智能体如何通过与环境的交互来学习最优策略,以达到长期回报最大化的问题。

2024-09-05 04:16:37 868

原创 【精品资源】基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE

协作认知无线电通信系统(Collaborative Cognitive Radio Communication Systems)是一种先进的无线通信技术,旨在提高频谱利用率和提升通信效率。这种系统允许未授权的“次用户”(Secondary Users, SUs)在不干扰授权的“主用户”(Primary Users, PUs)的前提下,动态地访问频谱资源。

2024-09-01 19:22:35 1685

原创 基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真

基于交互多模态模型(Interacting Multiple Model, IMM)和卡尔曼滤波(Kalman Filter, KF)的多模型目标跟踪算法是一种广泛应用于雷达、自动驾驶、视频监控等领域的先进目标跟踪方法。

2024-08-31 03:50:02 5135

原创 【精品资源】基于SAGE算法的宽带信道参数估计matlab仿真

SAGE算法(Space Alternating Generalized Expectation Maximization algorithm)是一种统计信号处理中的迭代算法,主要用于盲源分离(Blind Source Separation, BSS)以及参数估计等问题。它特别适用于多维信号的参数估计,比如在宽带无线通信系统中的信道参数估计。

2024-08-29 01:54:46 671

原创 基于ACO蚁群优化算法的城市TSP问题求解matlab仿真

旅行商问题是一个经典的组合优化问题,问题的目标是在给定的一组城市之间找到一条最短的环路,使得每个城市恰好访问一次,并最终返回起点。TSP问题是一个NP-hard问题,这意味着随着城市数量的增加,找到最优解的时间复杂度呈指数增长。蚁群优化算法是一种启发式搜索算法,它受到自然界中蚂蚁觅食行为的启发。在自然界中,蚂蚁通过释放信息素来寻找从蚁巢到食物源的最短路径。ACO算法通过模拟这一过程来寻找TSP问题的近似最优解。:初始化信息素浓度τij​ 和启发式信息ηij​。

2024-08-28 23:45:01 526

原创 【教程4>第3章>第9节】8FSK解调系统的FPGA开发与matlab验证

8FSK通过改变载波信号的频率来传输数据。对于每一对比特(000, 001, 010, 011,100, 101, 110, 111),会对应一个特定的载波频率。8FSK信号的解调可以通过多种方法实现,包括非相干解调和相干解调。非相干解调较为简单,通常使用滤波器将信号分为四个通道,然后通过比较每个通道的能量来确定接收到的比特对。相干解调则需要恢复载波相位,通常使用匹配滤波器等技术。在本课程中,我们实现8FSK非相干解调。

2024-08-28 05:37:44 520

原创 基于遗传算法的多目标优化matlab仿真,输出最优帕累托值

给定两个解x 和y,如果对于所有的目标函数fi​,都有fi​(x)≤fi​(y) 并且至少存在一个i 使得 fi​(x)

2024-08-25 20:25:15 570

原创 基于B样条的小波变换概述

B样条小波是由B样条函数构造而成的一种小波基。对于B样条小波,尺度函数ϕ(t) 和小波函数ψ(t) 可以由B样尺函数构造。具体来说,尺度函数 ϕ(t) 由k 阶B样条函数通过适当的线性组合得到,而小波函数ψ(t) 由尺度函数ϕ(t) 构造而成。其中 f(t) 是原始信号,ϕj,n​(t) 和 ψj,n​(t) 分别是尺度函数和小波函数,cj,n​ 和 dj,n​ 分别是尺度系数和小波系数。这里hm​ 和gm​ 分别是尺度系数和小波系数,cj,n​ 和dj,n​ 分别是第 j 层分解的尺度系数和小波系数。

2024-08-25 20:00:48 567

原创 【教程4>第3章>第8节】8FSK调制系统的FPGA开发与matlab验证

8FSK调制系统的FPGA开发与matlab验证

2024-08-24 04:20:56 528

原创 数字调制与解调matlab仿真设计概述

数字调制是指用数字基带信号对载波的某些参量进行控制,使载波的这些参量随基带信号的变化而变化。根据控制的载波参量的不同,数字调制有调幅、调相和调频三种基本形式,并可以派生出多种其他形式。由于传输失真、传输损耗以及保证带内特性的原因,基带信号不适合在各种信道上进行长距离传输。为了进行长途传输,必须对数字信号进行载波调制,将信号频谱搬移到高频处才能在信道中传输。因此,大部分现代通信系统都使用数字调制技术。

2024-08-24 03:30:31 1008

原创 痛苦指数五颗星的通信专业怎么学、就业前景如何?

总之,通信工程专业的学生应该充分利用其理论知识,通过实践不断提升自己的技术水平。同时,该专业的灵活性也为学生提供了转行到其他领域的可能性,尤其是在软件开发和互联网行业。

2024-08-24 03:04:29 688

原创 自适应算术编码器概述

算术编码是一种无失真的编码方法,能有效地压缩信源冗余度,属于熵编码的一种。算术编码的一个重要特点就是可以按分数比特逼近信源熵,突破了Haffman编码每个符号只不过能按整数个比特逼近信源熵的限制。对信源进行算术编码,往往需要两个过程,第一个过程是建立信源概率表,第二个过程是对信源发出的符号序列进行扫描编码。而自适应算术编码在对符号序列进行扫描的过程中,可一次完成上述两个过程,即根据恰当的概率估计模型和当前符号序列中各符号出现的频率,自适应地调整各符号的概率估计值,同时完成编码。

2024-08-18 18:03:10 814

原创 【教程4>第3章>第7节】4FSK解调系统的FPGA开发与matlab验证

4FSK通过改变载波信号的频率来传输数据。对于每一对比特(00, 01, 10, 11),会对应一个特定的载波频率。这四个频率通常按照两对来选择,每对中的两个频率是接近的,但两对之间的频率差较大,以便于接收端区分它们。假设我们有两个中心频率f1​ 和f2​,且 f1​

2024-08-18 00:38:13 406

原创 基于双PI和SVPWM的永磁同步电机控制系统

永磁同步电机是一种高性能的交流电机,它利用永磁体产生的磁场与定子绕组中的电流相互作用产生扭矩。PMSM具有高效率、高功率密度和良好的动态响应等特点,广泛应用于工业驱动、电动汽车等领域。永磁同步电机的转子上装有永磁体,这些永磁体产生一个固定的磁场。当电机的定子绕组中通入交流电流时,会产生一个旋转磁场。由于永磁体产生的磁场与旋转磁场之间的相互作用,电机开始转动,且转子的旋转速度会与旋转磁场的同步速度保持一致。为了更深入地理解PMSM的工作原理,我们需要建立其数学模型。

2024-08-16 20:56:27 935

原创 基于yolov10的目标检测模型概述

总而言之,YOLOv10在实时目标检测领域代表了一个显著的飞跃。它通过解决先前YOLO模型存在的局限性,并引入了一系列创新的设计策略,为效率和性能设立了新的标准。无论你是研究人员、开发人员还是技术爱好者,YOLOv10都是值得关注的模型。

2024-08-16 20:35:14 1176

原创 分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线

由于是在高维特征空间中建立线性学习机,所以与线性模型相比,不但几乎不增加计算的复杂性,而且在某种程度上避免了"维数灾难".这一切要归功于核函数的展开和计算理论.对于分类问题,SVM的目标是找到一个超平面,使得不同类别的样本尽可能地被分开,并且两类样本之间的间隔最大化。当数据不是线性可分时,可以使用核函数K(xi​,xj​)=ϕ(xi​)⋅ϕ(xj​),其中ϕ 是映射函数,将原始数据从低维空间映射到高维空间,使得数据变得线性可分。其中 TP 表示真正例,FN 表示假负例,FP 表示假正例,TN 表示真负例。

2024-08-13 17:57:29 855 1

原创 基于FPGA的LCD&VGA控制器设计

VGA (Video Graphics Array) 即视频图形阵列,是IBM于1987年随PS/2机(PersonalSystem 2)一起推出的使用模拟信号的一种视频传输标准。这个标准对于现今的个人电脑市场已经十分过时。但在当时具有分辨率高、显示速率快、颜色丰富等优点,在彩色显示器领域取得了广泛的应用,是众多制造商所共同支持的一个低标准。VGA(Video Graphics Array)是一种模拟视频信号标准,主要用于计算机显示器。时序控制模块:负责生成VGA信号的标准时序。

2024-08-11 17:12:34 901

原创 【教程4>第3章>第6节】4FSK调制系统的FPGA开发与matlab验证

【教程4>第3章>第6节】4FSK调制系统的FPGA开发与matlab验证

2024-08-11 16:51:48 189

原创 【教程4>第3章>第5节】8ASK解调系统的FPGA开发与matlab验证

在8ASK调制过程中,原始的二进制数据流被分组,每三个二进制位形成一个符号,总共有8种可能的符号组合,即000、001、010、011、100、101、110、111。这八个组合分别对应载波信号的八个不同幅度级别,通常选择等间距的幅度值。设载波的基带信号为s(t),载波频率为fc​,幅度等级分别为A1​,A2​,A3​,A4​​,A5​,A6​,A7​,A8​,且A1​

2024-08-08 17:22:25 402

原创 降低FPGA功耗的设计技巧

为了降低FPGA(Field-Programmable Gate Array)的功耗并提高其能效,我们需要深入理解FPGA的工作原理和功耗模型。FPGA的功耗主要由静态功耗和动态功耗组成。静态功耗是指电路处于非活动状态时的功耗,而动态功耗则是在电路工作时产生的功耗。

2024-08-06 14:02:48 1137

基于Shi-Tomasi角点检测和KLT算法的双目图像三维重建matlab仿真,包括程序,程序中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,程序中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:双目图像三维重建 4.仿真效果:仿真效果可以参考博客同名文章《基于Shi-Tomasi角点检测和KLT算法的双目图像三维重建matlab仿真》 5.内容:基于Shi-Tomasi角点检测和KLT算法的双目图像三维重建matlab仿真。Shi-Tomasi角点检测算法是一种用于在图像中识别具有独特性的点的算法,这些点通常称为“角点”或者“特征点”。角点是指那些在不同方向上都有显著变化的图像区域中的点。Shi-Tomasi算法基于这样的假设:如果一个点在多个方向上都有较大的梯度变化,则该点很可能是一个好的角点。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-09-17

基于FPGA的超高阶16384QAM星座点映射verilog实现,包含verilog程序,testbench,matlab星座图

1.版本:matlab2022A,vivado2019.2。 2.包含:verilog程序,testbench,matlab星座图,仿真操作步骤(使用windows media player播放)。 3.领域:超高阶16384QAM 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的超高阶16384QAM星座点映射verilog实现》 5.内容:基于FPGA的超高阶16384QAM星座点映射verilog实现。16384-QAM意味着每个调制符号可以携带log₂(16384) = 14比特的信息。为了实现如此高的信息承载能力,需要设计一个足够大的星座图,使得星座点之间的最小欧氏距离尽可能大。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。vivado工程必须英文路径

2024-09-12

雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号,包括程序,程序功能介绍,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,程序功能介绍,仿真操作步骤(使用windows media player播放)。 3.领域:雷达信号 4.仿真效果:仿真效果可以参考博客同名文章《雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号》 5.内容:雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号。对于线性调频信号,分析了线性调频信号的各类特性,线性调频脉冲压缩信号具有实现简单,但旁瓣电平高等特点。对于非线性调频信号,主要在大时宽带宽积的条件下,选择不同的窗函数设计波形对脉冲压缩后分别仿真其主副瓣比和主瓣宽度。然后采用组合窗法,选择两种或多种合适的窗函数,对其进行线性组合得到新的组合窗调频函数,并采用MATLAB对相关的理论进行了仿真。对于相位编码信号,主要仿真了巴克码。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-09-08

基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:协作认知无线 4.仿真效果:仿真效果可以参考博客同名文章《基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE》 5.内容:基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE。在协作认知无线电通信系统中,信道估计是一项关键的技术,它直接影响着系统性能,如数据传输速率、误码率以及频谱利用率等。信道估计的主要目的是通过已知的训练序列来估计无线信道的特性,进而用于数据检测、干扰抑制和其他处理任务。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-09-01

基于SAGE算法的宽带信道参数估计matlab仿真,包括程序,中文注释,参考文献,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:SAGE算法 4.仿真效果:仿真效果可以参考博客同名文章《基于SAGE算法的宽带信道参数估计matlab仿真》 5.内容:基于SAGE算法的宽带信道参数估计matlab仿真。SAGE算法是GEM算法的一个扩展,其核心思想是在期望最大化(Expectation-Maximization, EM)算法的基础上交替地对不同的参数组进行优化。在宽带信道参数估计中,SAGE算法可以用来估计信道的冲激响应。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-29

基于ACO蚁群优化算法的城市TSP问题求解matlab仿真,包括程序,中文注释,参考文献,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:城市TSP问题求解 4.仿真效果:仿真效果可以参考博客同名文章《基于ACO蚁群优化算法的城市TSP问题求解matlab仿真》 5.内容:基于ACO蚁群优化算法的城市TSP问题求解matlab仿真。蚁群优化(Ant Colony Optimization, ACO)算法是一种启发式搜索算法,它模仿了真实世界中蚂蚁寻找食物的行为。这种算法特别适合用于解决组合优化问题,如旅行商问题(Traveling Salesman Problem, TSP)。TSP问题是这样的:给定一系列城市和它们之间的距离,找到一条路径,使得从一个城市出发访问每个城市恰好一次后回到起点,并且这条路径的总距离最短。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-25

风机负荷中无刷直流电机的闭环速度控制系统simulink建模与仿真,包括simulink模型,仿真操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,仿真操作步骤(使用windows media player播放)。 3.领域:闭环速度控制系统 4.仿真效果:仿真效果可以参考博客同名文章《风机负荷中无刷直流电机的闭环速度控制系统simulink建模与仿真》 5.内容:风机负荷中无刷直流电机的闭环速度控制系统simulink建模与仿真。无刷直流电机的闭环速度控制系统在风机负荷中是非常重要的组成部分。通过合理设计和调整PID控制器的参数,可以确保电机在各种工作条件下都能稳定、高效地运行。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-25

常见数字调制解调系统matlab误码率仿真,对比BPSK,QPSK,16QAM,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:数字调制解调系统 4.仿真效果:仿真效果可以参考博客同名文章《常见数字调制解调系统matlab误码率仿真,对比BPSK,QPSK,16QAM》 5.内容:常见数字调制解调系统matlab误码率仿真,对比BPSK,QPSK,16QAM。数字调制技术是现代通信系统的核心组成部分,用于将数字信号转换成适合通过物理介质传输的形式。本程序对比包括BPSK(二进制相移键控)、QPSK(四相相移键控)以及16-QAM(16正交幅度调制)三种调制解调系统的误码率。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-18

三电平SVPWM算法的simulink建模与仿真,包括simulink模型,仿真操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,仿真操作步骤(使用windows media player播放)。 3.领域:三电平SVPWM算法 4.仿真效果:仿真效果可以参考博客同名文章《三电平SVPWM算法的simulink建模与仿真》 5.内容:三电平SVPWM算法的simulink建模与仿真。三电平空间矢量脉宽调制(SVPWM)算法通常用于三电平逆变器控制中,它能够有效地提高直流电压利用率和降低输出电压谐波含量。在三电平逆变器中,每个开关臂可以处于三种状态:高电平、低电平和零电平。因此,对于一个相来说,它可以产生7种不同的电压矢量(包括两个零矢量和五个非零矢量)。这些矢量可以组成一个六边形的空间矢量图。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-13

分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:SVM和MLP 4.仿真效果:仿真效果可以参考博客同名文章《分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线》 5.内容:分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线。包括步骤:加载数据,分割数据集,训练SVM模型,训练MLP模型,对测试数据进行预测,计算并绘制ROC曲线,最后进行ROC曲线对比。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-12

基于L2正则化项的正交人脸识别算法matlab仿真,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:人脸识别 4.仿真效果:仿真效果可以参考博客同名文章《基于L2正则化项的正交人脸识别算法matlab仿真》 5.内容:基于L2正则化项的正交人脸识别算法matlab仿真。仿真输出ROC曲线,混淆矩阵。在正交人脸识别中,L2正则化通常被用来优化特征选择过程。比如,在PCA中,我们可以通过添加L2正则化项来控制特征向量的权重,从而得到更稳健的特征表示。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-09

基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:多模型目标跟踪 4.仿真效果:仿真效果可以参考博客同名文章《基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真》 5.内容:基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真。IMM算法是一种递归估计方法,它通过组合多个卡尔曼滤波器来处理动态变化的目标模型。每个滤波器都对应一个不同的运动模型,如常速模型、匀加速模型等。这些滤波器相互作用并共享信息以更新概率权重,从而在各个模型之间进行平滑切换。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-07

基于双PI和SVPWM的永磁同步电机控制系统simulink建模与仿真,simulink模型,中文注释,参考文献,仿真操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:双PI和SVPWM 4.仿真效果:仿真效果可以参考博客同名文章《基于双PI和SVPWM的永磁同步电机控制系统simulink建模与仿真》 5.内容:基于双PI和SVPWM的永磁同步电机控制系统simulink建模与仿真。基于双PI控制器和SVPWM的PMSM控制系统是一种有效的电机控制策略,能够实现高精度的转矩和速度控制。设计此类系统时需要考虑的因素包括电机参数、控制器参数的选择、电流和速度检测以及SVPWM的实现。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-03

基于CNN卷积神经网络的mnist手写数字库训练matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:CNN+mnist 4.仿真效果:仿真效果可以参考博客同名文章《基于CNN卷积神经网络的mnist手写数字库训练matlab仿真》 5.内容:基于CNN卷积神经网络的mnist手写数字库训练matlab仿真。使用卷积神经网络(Convolutional Neural Network, CNN)对MNIST手写数字数据集进行训练是一个常见的机器学习任务。MNIST数据集包含60,000个训练样本和10,000个测试样本的手写数字灰度图像,每个图像的尺寸为28x28像素。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-03

基于文化优化算法的非线性无约束函数问题求解matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:文化优化算法 4.仿真效果:仿真效果可以参考博客同名文章《基于文化优化算法的非线性无约束函数问题求解matlab仿真》 5.内容:基于文化优化算法的非线性无约束函数问题求解matlab仿真。文化算法(Cultural Algorithm, CA)是一种模仿人类文化的进化计算方法,它通过模拟人类文化中的社会学习过程来解决优化问题。文化算法通常包括两个主要部分:种群空间(Population Space)和信仰空间(Belief Space)。种群空间负责传统的进化计算操作,如选择、交叉、变异等;而信仰空间则用于存储和更新知识,这些知识指导种群空间中个体的行为和进化方向。在非线性无约束函数问题中,文化算法可以有效地探索解空间并找到全局最优解或者接近最优解的解。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-29

基于IMMPF交互式多模粒子滤波的目标跟踪算法matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:交互式多模粒子滤波 4.仿真效果:仿真效果可以参考博客同名文章《基于IMMPF交互式多模粒子滤波的目标跟踪算法matlab仿真》 5.内容:基于IMMPF交互式多模粒子滤波的目标跟踪算法matlab仿真。交互式多模态粒子滤波器(Interactive Multiple Model Particle Filter, IMMPF)是一种结合了交互式多模型滤波(IMM)与粒子滤波(PF)优点的方法,用于解决目标跟踪中的非线性及非高斯问题。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-29

wcdma通信链路matlab误码率仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:wcdma 4.仿真效果:仿真效果可以参考博客同名文章《wcdma通信链路matlab误码率仿真》 5.内容:wcdma通信链路matlab误码率仿真。卷积编码:使用了 poly2trellis 函数来创建一个卷积编码器的trellis结构。 OVSF码:OVSF码用于扩频,即把信号扩展到更宽的频带上。 根升余弦接收滤波器:这种类型的滤波器被用来恢复信号的形状,降低码间干扰 (ISI)。 解扰:解扰过程是将信号与分配给用户的特定扰码相乘。 解扩:解扩过程是将解扰后的信号与OVSF码相乘。 积分:积分步骤是将解扩后的信号按OVSF码的长度进行求和,以便从扩频信号中恢复原始比特。 维特解码:使用维特算法进行卷积编码的解码。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-28

基于simulink的模糊PID控制系统建模与仿真,包括simulink文件,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:simulink文件,参考文献,操作步骤(使用windows media player播放)。 3.领域:模糊PID控制 4.仿真效果:仿真效果可以参考博客同名文章《基于simulink的模糊PID控制系统建模与仿真》 5.内容:基于simulink的模糊PID控制系统建模与仿真。模糊PID控制系统是一种结合了模糊逻辑和传统PID(比例-积分-微分)控制策略的控制方法,旨在提高控制系统的性能,尤其是在面对非线性、时变或不确定性的被控对象时。模糊PID控制器通过引入模糊逻辑系统来动态调整PID控制器的参数(即比例系数Kp、积分时间Ti和微分时间Td),从而实现对控制规则的灵活调整,以达到更好的控制效果。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-20

基于PSO优化的BP神经网络训练与测试matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:PSO优化的BP神经网络 4.仿真效果:仿真效果可以参考博客同名文章《基于PSO优化的BP神经网络训练与测试matlab仿真》 5.内容:基于PSO优化的BP神经网络训练与测试matlab仿真。粒子群优化(Particle Swarm Optimization, PSO)结合BP(Backpropagation)神经网络是一种常见的优化方法,用于提升神经网络的学习能力和泛化能力。PSO不仅帮助BP神经网络找到了一个较好的初始解,从而可能加快了训练过程并提高了最终模型的质量,而且还能探索到更广泛的解空间,有助于避免局部最优解。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-20

基于FPGA的斐波那契数列verilog实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:斐波那契数列 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的斐波那契数列verilog实现,包含testbench》 5.内容:基于FPGA的斐波那契数列verilog实现,包含testbench。基于FPGA实现斐波那契数列可以采用多种方法,本课题采用的是时序逻辑(状态机)来加速计算。这种方法适用于生成较短的斐波那契数列,对于长序列,更高效的设计可能涉及更复杂的并行计算策略。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-07-14

基于ACO蚁群优化算法的机器人路径规划matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:机器人路径规划 4.仿真效果:仿真效果可以参考博客同名文章《基于ACO蚁群优化算法的机器人路径规划matlab仿真》 5.内容:基于ACO蚁群优化算法的机器人路径规划matlab仿真。ACO算法模拟蚂蚁在搜索食物路径时留下信息素(pheromone)的行为。在算法中,信息素作为一种虚拟物质,其浓度反映了路径的质量,浓度越高表示路径越优。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-14

基于MPPT最大功率跟踪的光伏发电系统simulink建模与仿真,包括simulink模型,参考文献,操作步骤视频

1.版本:matlab2022A。 2.包含:simulink模型,参考文献,操作步骤视频(使用windows media player播放)。 3.领域:光伏发电 4.仿真效果:仿真效果可以参考博客同名文章《基于MPPT最大功率跟踪的光伏发电系统simulink建模与仿真》 5.内容:基于MPPT最大功率跟踪的光伏发电系统simulink建模与仿真。基于MPPT(最大功率点跟踪)的光伏发电系统是一种通过实时调节光伏电池的工作点,以确保其始终工作在最大功率输出状态下的技术方案。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-14

基于FPGA的FIFO实现,包含testbench,不使用IP核,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:FIFO 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的FIFO实现,包含testbench,不使用IP核》 5.内容:基于FPGA的FIFO实现,包含testbench,不使用IP核。在FPGA上实现FIFO(First In First Out,先进先出)通常涉及使用双端口RAM(或称为BRAM,Block RAM)作为存储介质,以及控制逻辑来管理读写指针和状态标志(如空、满)。通过testbench模拟FIFO写入,读取的过程。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-07-06

基于遗传算法的多目标优化matlab仿真,输出最优帕累托值,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:遗传算法 4.仿真效果:仿真效果可以参考博客同名文章《基于遗传算法的多目标优化matlab仿真,输出最优帕累托值》 5.内容:基于遗传算法的多目标优化matlab仿真,输出最优帕累托值。基于遗传算法的多目标优化是一种解决具有多个冲突目标函数的优化问题的有效方法。遗传算法(Genetic Algorithm, GA)通过模拟自然选择和遗传学原理,在解空间中搜索问题的最优解。在多目标优化中,目标是找到一组解,这些解在所有目标函数上表现良好,且不存在任何一个目标可以通过牺牲其他目标来进一步改善,这样的解集合被称为帕累托最优解集(Pareto Optimal Set)。帕累托前沿(Pareto Frontier)则是在目标空间中由这些最优解构成的边界。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-06

基于周期模式算法的一维信号相位和周期测量matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:一维信号相位和周期测量 4.仿真效果:仿真效果可以参考博客同名文章《基于周期模式算法的一维信号相位和周期测量matlab仿真》 5.内容:基于周期模式算法的一维信号相位和周期测量matlab仿真。基于周期模式算法的一维信号相位和周期测量,是指从具有周期性特征的一维信号中,准确地识别出信号的重复周期(即周期)以及在该周期内的起始相位。这种测量技术广泛应用于图像处理、信号分析、振动监测、光学测量等领域。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-06

基于强化学习的倒立摆平衡控制算法matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:强化学习 4.仿真效果:仿真效果可以参考博客同名文章《基于强化学习的倒立摆平衡控制算法matlab仿真》 5.内容:基于强化学习的倒立摆平衡控制算法matlab仿真。基于强化学习(Reinforcement Learning, RL)的倒立摆平衡控制算法是一种利用机器学习方法,特别是强化学习框架,来自动学习如何控制倒立摆系统维持平衡状态的技术。倒立摆问题是一个经典的控制问题,其目标是通过控制底座的移动(如加速度或角度变化)来使一个垂直悬挂的小车或者摆杆维持直立不倒。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-06

基于FPGA的AM信号解调verilog实现,包括程序,注释,操作步骤

1.版本:quartusii13.01-64bits,modelsim-altera 6.5d,matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:AM信号解调 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的AM信号解调verilog实现》 5.内容:基于FPGA的AM信号解调verilog实现。基于FPGA的AM(调幅)信号解调在Verilog语言中的实现通常涉及到模拟信号的数字化处理,主要步骤包括采样、同步、包络提取等。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。FPGA工程路径要英文。

2024-06-25

单级柔性机械臂的LQR位置控制matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:LQR控制 4.仿真效果:仿真效果可以参考博客同名文章《单级柔性机械臂的LQR位置控制matlab仿真》 5.内容:单级柔性机械臂的LQR位置控制matlab仿真。单级柔性机械臂的LQR(Linear Quadratic Regulator)位置控制是一种利用线性二次型最优控制理论来设计控制器,以实现机械臂末端或某环节位置的精确跟踪和稳定控制的方法。柔性机械臂相较于刚性机械臂,由于存在弹性变形,其动态特性更加复杂,因此在进行位置控制时需要考虑其动力学模型中的弹性因素。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-25

基于Dijkstra最短路径算法的栅格地图避障路线规划matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:栅格地图避障路线规划 4.仿真效果:仿真效果可以参考博客同名文章《基于Dijkstra最短路径算法的栅格地图避障路线规划matlab仿真》 5.内容:基于Dijkstra最短路径算法的栅格地图避障路线规划matlab仿真。基于Dijkstra's最短路径算法的栅格地图避障路线规划是一种常见的路径规划方法,适用于机器人导航、游戏AI等领域。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-25

基于非主导排序遗传算法的多目标优化matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:非主导排序遗传算法 4.仿真效果:仿真效果可以参考博客同名文章《基于非主导排序遗传算法的多目标优化matlab仿真》 5.内容:基于非主导排序遗传算法的多目标优化matlab仿真。非主导排序遗传算法(Non-Dominated Sorting Genetic Algorithm, NSGA)是一种广泛应用于解决多目标优化问题的启发式搜索方法。其核心思想是在每一代种群中识别并保留那些非支配解,即不存在其他解在所有目标函数上同时优于它,同时尽量保持这些解的分布多样性。NSGA经过迭代进化,旨在找到逼近真实Pareto前沿(即不可能同时改善所有目标而不损害至少一个目标的解集合)的解集。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-25

基于LUT查找表方法的正弦信号产生器FPGA实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:正弦信号产生器 4.仿真效果:仿真效果可以参考博客同名文章《基于LUT查找表方法的正弦信号产生器FPGA实现,包含testbench》 5.内容:基于LUT查找表方法的正弦信号产生器FPGA实现,包含testbench。查表法的基本思想是预先计算出一个周期内正弦波的离散点值,并将这些值存储在一个查找表(LUT)中。FPGA中的LUT本质上是一种小型的ROM,可以根据输入地址迅速返回预设的数据值。对于正弦波生成,这个地址通常是根据输入的角度或者时钟计数来决定的。ROM模块使用verilog编程实现,因此可以移植到quartusii平台 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-06-19

基于simulink的SEDC励磁阻尼控制系统建模与仿真,包括simulink模型,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,注释,参考文献,操作步骤(使用windows media player播放)。 3.领域:励磁阻尼控制 4.仿真效果:仿真效果可以参考博客同名文章《基于simulink的SEDC励磁阻尼控制系统建模与仿真》 5.内容:基于simulink的SEDC励磁阻尼控制系统建模与仿真。SEDC,即Supplementary Excitation Damping Controller,补充励磁阻尼控制器,是一种专门设计用于电力系统中同步发电机的控制系统。它的主要功能是增强发电机的动态稳定性,特别是针对低频振荡(如电力系统的机电振荡)和瞬态过电压等问题。励磁阻尼控制通过调节发电机的励磁电流,改变发电机磁场的特性,进而影响发电机的电磁反应,达到抑制系统振荡和稳定电压的目的。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-19

基于龙格库塔算法的偏微分方程求解matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:偏微分方程求解 4.仿真效果:仿真效果可以参考博客同名文章《基于龙格库塔算法的偏微分方程求解matlab仿真》 5.内容:基于龙格库塔算法的偏微分方程求解matlab仿真。偏微分方程(PDE)的求解通常比常微分方程(ODE)更为复杂,因为PDE涉及到多个自变量的变化。龙格-库塔方法作为一种数值积分方法,主要用于解决一阶或更高阶的常微分方程初值问题,直接应用于偏微分方程并不适用。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-19

基于强化学习的非平稳学习回报最大化matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:强化学习 4.仿真效果:仿真效果可以参考博客同名文章《基于强化学习的非平稳学习回报最大化matlab仿真》 5.内容:基于强化学习的非平稳学习回报最大化matlab仿真。非平稳环境下的强化学习(Reinforcement Learning, RL)挑战在于,环境的动力学特性(例如奖励函数或状态转移概率)随时间变化,这要求学习算法不仅要适应当前环境,还要持续学习以应对未来的变动。最大化非平稳环境中的学习回报,关键在于设计或选择能够灵活适应变化、快速学习和泛化的策略与算法。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-13

基于PI控制的电动车建模与性能分析matlab仿真,包括程序/simulink模型,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序/simulink模型,注释,操作步骤(使用windows media player播放)。 3.领域:电动车 4.仿真效果:仿真效果可以参考博客同名文章《基于PI控制的电动车建模与性能分析matlab仿真》 5.内容:基于PI控制的电动车建模与性能分析matlab仿真。基于PI控制的电动车模型主要涉及到两个部分:电动车的动力学模型和控制器的设计。PI控制器(比例-积分控制器)因其简单且有效的特性,在车辆控制工程中被广泛应用。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-13

基于二自由度的半主动悬架控制系统matlab建模与仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:半主动悬架控制系统 4.仿真效果:仿真效果可以参考博客同名文章《基于二自由度的半主动悬架控制系统matlab建模与仿真》 5.内容:基于二自由度的半主动悬架控制系统matlab建模与仿真。基于二自由度的半主动悬架控制系统是一种旨在改善汽车行驶平顺性和操纵稳定性的控制系统。这种系统通过实时调节悬架阻尼力,以适应不同路况和驾驶条件,减少车身振动和冲击,同时确保车辆稳定性。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-11

基于FPGA的占空比可配置的PWM脉宽调制方波产生器Verilog实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:PWM脉宽调制方波产生器 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的占空比可配置的PWM脉宽调制方波产生器Verilog实现,包含testbench》 5.内容:基于FPGA的占空比可配置的PWM脉宽调制方波产生器Verilog实现,包含testbench。占空比(Duty Cycle)定义为PWM信号高电平时间与总周期时间的比率,通常以百分比表示。例如,占空比为70%意味着在一个完整的周期内,信号有70%的时间处于高电平状态,剩余30%处于低电平。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-06-11

基于FPGA的可编程方波发生器verilog实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:可编程方波发生器 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的可编程方波发生器verilog实现,包含testbench》 5.内容:基于FPGA的可编程方波发生器verilog实现,包含testbench。通过可变的开启(逻辑1)和关闭(逻辑0)间隔。间隔的持续时间为由两个4位控制信号指定。开启和关闭间隔分别为m*100ns和n*100ns。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-06-11

分别通过BP神经网络和GRNN广义回归神经网络对电力负荷数据进行训练和预测matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:电力负荷预测 4.仿真效果:仿真效果可以参考博客同名文章《分别通过BP神经网络和GRNN广义回归神经网络对电力负荷数据进行训练和预测matlab仿真》 5.内容:分别通过BP神经网络和GRNN广义回归神经网络对电力负荷数据进行训练和预测matlab仿真。在电力负荷预测中,BP神经网络(Back Propagation Neural Network)和广义回归神经网络(Generalized Regression Neural Network,简称GRNN)都是常用的预测模型。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-09

基于图像纹理特征提取的图像分割算法matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:图像分割 4.仿真效果:仿真效果可以参考博客同名文章《基于图像纹理特征提取的图像分割算法matlab仿真》 5.内容:基于图像纹理特征提取的图像分割算法matlab仿真。图像分割是计算机视觉和图像处理领域中的一个基本问题,旨在将图像划分为多个互不相交的区域,这些区域在某些特性上(如颜色、亮度、纹理等)相对一致。基于图像纹理特征的分割算法利用了图像中像素之间的空间关系和结构模式,这些特征能够有效地反映出不同区域间的差异性。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除