计算机显示器上有条纹,电脑显示器出现条纹的解决参考

案例一:

问:我是一名电脑爱好者,近日我的电脑不知何故电脑一启动显示器就出现条纹,过几分钟又好了,重新启动没有问题,但关机一段时间再启动又出现了。换了一块显卡还是一样,请问如何能解决这个问题?

答:从你谈到的现象看应该是显示器本身存在质量问题。请尽快送修。 此外很多显示器在正常工作时也会出现一些水波纹,就是所谓因波纹效应而产生的“摩尔纹”。波纹效应(Moireeffect)指两个重叠的线条形态所产生的干扰中,生成的一种波纹团。视频波纹是来自光罩形状和视频信号之间的干扰;扫描波纹则来自于水平线条与荫罩形态之间的干扰。波纹在屏幕上是以波形的状态显示出来的,当显示器的分辨率增加时,这一现象会更为明显。由于视频信号一直在变,视频波纹的问题很难解决。目前有一些高档显示器设计了先进的消除波纹失真功能。对于没有此功能的显示器,可以通过调整分辨率,来减轻波纹效应

案例二:

【故障现象】

电脑在工作时,CRT显示器的屏幕上总是出现一些水平条纹,很影响使用。

【分析处理】

显示器产生水平条纹的原因主要有两种:

★ 外部干扰:如电脑的附近有电火花或高频电磁干扰,就会导致屏幕上出现白色的水平条纹。应避免在此类环境下使用电脑。

★ 内部干扰:例如显示器内部存在接触不良的地方,变压器出现故障等,均会导致内部干扰。这种干扰会使屏幕上出现黑色的水平条纹。遇到这种情况,最好请专业人士进行检修,不建议私自打开显示器修理。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
以下是实现彩色竖条纹和彩色棋盘格的Verilog代码: ``` module vga_display( input clk, // VGA时钟 input rst, // 复位信号 output reg [9:0] vga_hsync, // VGA水平同步信号 output reg [9:0] vga_vsync, // VGA垂直同步信号 output reg [9:0] vga_red, // 红色信号 output reg [9:0] vga_green, // 绿色信号 output reg [9:0] vga_blue // 蓝色信号 ); reg [10:0] h_count = 0; // 水平计数器 reg [10:0] v_count = 0; // 垂直计数器 // 彩色竖条纹 always@(posedge clk, posedge rst) begin if(rst) begin vga_red <= 10'b0; vga_green <= 10'b0; vga_blue <= 10'b0; end else begin if(h_count >= 0 && h_count <= 159) begin vga_red <= 10'b1111111111; vga_green <= 10'b0; vga_blue <= 10'b0; end else if(h_count >= 160 && h_count <= 319) begin vga_red <= 10'b0; vga_green <= 10'b1111111111; vga_blue <= 10'b0; end else if(h_count >= 320 && h_count <= 479) begin vga_red <= 10'b0; vga_green <= 10'b0; vga_blue <= 10'b1111111111; end else begin vga_red <= 10'b0; vga_green <= 10'b0; vga_blue <= 10'b0; end end end // 彩色棋盘格 always@(posedge clk, posedge rst) begin if(rst) begin vga_hsync <= 10'b0; vga_vsync <= 10'b0; end else begin if(h_count >= 0 && h_count <= 639 && v_count >= 0 && v_count <= 479) begin if((h_count[3] ^ v_count[3]) == 1) begin vga_red <= 10'b1111111111; vga_green <= 10'b0; vga_blue <= 10'b0; end else begin vga_red <= 10'b0; vga_green <= 10'b1111111111; vga_blue <= 10'b0; end end else begin vga_red <= 10'b0; vga_green <= 10'b0; vga_blue <= 10'b0; end // 生成VGA同步信号 if(h_count >= 0 && h_count <= 639) begin vga_hsync <= 10'b0; end else begin vga_hsync <= 10'b1; end if(v_count >= 0 && v_count <= 479) begin vga_vsync <= 10'b0; end else begin vga_vsync <= 10'b1; end // 计数器自增 if(h_count == 799) begin h_count <= 0; if(v_count == 524) begin v_count <= 0; end else begin v_count <= v_count + 1; end end else begin h_count <= h_count + 1; end end end endmodule ``` 该代码实现了一个基本的VGA显示器,可以在显示器上显示彩色竖条纹和彩色棋盘格。其中,彩色竖条纹是由水平计数器h_count的值决定的,而彩色棋盘格是由水平计数器h_count和垂直计数器v_count的值决定的。在代码中,我们使用了一个计数器来跟踪水平和垂直扫描线的位置,并根据这些位置来生成相应的VGA信号和颜色信号。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值