UVM实战 卷I学习笔记17——UVM命令行参数汇总


命令行参数指的是运行时的命令行参数,而不是编译时的命令行参数。

打印出所有的命令行参数

<sim command> +UVM_DUMP_CMDLINE_ARGS

指定运行测试用例的名称

<sim command> +UVM_TESTNAME=<class name>

如:

<sim command> +UVM_TESTNAME=my_case0

在命令行中设置冗余度阈值

<sim command> +UVM_VERBOSITY=<verbosity>

如:

<sim command> +UVM_VERBOSITY=UVM_HIGH

设置打印信息的不同行为

<sim command> +uvm_set_action=<comp>,<id>,<severity>,<action>

如:

<sim command> +uvm_set_action="uvm_test_top.env.i_agt.drv,my_driver,UVM_WARNI NG,UVM_DISPLAY|UVM_COUNT"

重载冗余度

<sim command> +uvm_set_severity=<comp>,<id>,<current severity>,<new severity>

如:

<sim command> +uvm_set_severity="uvm_test_top.env.i_agt.drv,my_driver,UVM_WAR NING,UVM_ERROR"

设置全局的超时时间

<sim command> +UVM_TIMEOUT=<timeout>,<overridable>~

如:

<sim command> +UVM_TIMEOUT="300ns, YES"

ERROR到达一定数量退出仿真

<sim command> +UVM_MAX_QUIT_COUNT=<count>,<overridable>

如:

<sim command> +UVM_MAX_QUIT_COUNT=6,NO

打开phase的调试功能

<sim command> +UVM_PHASE_TRACE

打开objection的调试功能

<sim command> +UVM_OBJECTION_TRACE

打开config_db的调试功能

<sim command> +UVM_CONFIG_DB_TRACE

打开resource_db的调试功能

<sim command> +UVM_RESOURCE_DB_TRACE

使用factory机制重载某个实例

<sim command> +uvm_set_inst_override=<req_type>,<override_type>,<full_inst_pa th>

如:

<sim command> +uvm_set_inst_override="my_monitor,new_monitor,uvm_test_top.en v.o_agt.mon"

类型重载

<sim command> +uvm_set_type_override=<req_type>,<override_type>[,<replace>]

如:

<sim command> +uvm_set_type_override="my_monitor,new_monitor"

第三个参数只能为0或者1,默认情况下为1。

在命令行中使用set_config

<sim command> +uvm_set_config_int=<comp>,<field>,<value>
<sim command> +uvm_set_config_string=<comp>,<field>,<value>

如:

<sim command> +uvm_set_config_int="uvm_test_top.env.i_agt.drv,pre_num,'h8"
  • 4
    点赞
  • 44
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值