2015年杭电计算机存储器扩展,杭电计算机组成原理存储器设计实验5

a7f4a3f590493a1e451dd952a488fd7c.gif 杭电计算机组成原理存储器设计实验5

(4页)

68a006be7d5ce240ad8555f216a72bd8.gif

本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦!

9.9 积分

杭州电子科技大学计算机学院课程名称:计算机组成原理 实验项0:存储器设计实验抬导教师: 实验位置:5 实验报告姓班学日-^^:^:)w:u5n5年511o2(1) 学>』和使用Verlilog HDL进行和思绪电路的设汁方法(2) 学习在ISE中设计生产Memory IP核的方法实验 (3) 学习存储器的结构及读写原理,掌握储存器的设计方法目的ISE Design Suite 14.6Digilent Adept Nexys3实验板环境(1) 生成Mempry 1P核的产生步骤1) 新建关联文奶*. coe初始化文件操作2) 新建一个Memory IP内核3) Memory TP内核的参数设置4) 调用RAM_B存储模块(2) 编写一个实验验证的的顶层模块,调用生成的存储器模块(3) 配置管脚产生bit文件顶层模块:module Test RAM B(Mem Addr, C, Mem Write, Clk, LED); input [7:2]Mera_Addr; input [1:O]C; input Mem Write, Clk; output reg [7:0]LED; wire [31:0]M R Data; reg [31:0]M_W_Data; RAM B ram(.clka(Clk),.wea(Mem Wri te),.addra(Mem_Addr[7:2]),? dina(M_W_Data),? douta(M_R_Data));always@(*)beginLED=0;M」V_Data=0; if(!Mem Write) begin case(C)2’b00:LED=M_R_Data[7:0];2fb01:LED=M_R_Data[15:8];2’blO:LED=M R Data[23:16];2’ bll:LED=M_R_Data[31:24];endcaseendelsebegincase(C)2’b00:M W Data=32, h0002 0003;2^01:M_W_Data=32, h0002_0603;2’ blO:M_W_Data=32’ hl234_5678;2,bll:M_W Data=32, hffff_ffff; endcaseendendendmodule数据记录和计算配置管脚:NET 〃C[0]〃 LOC = T10; NET "C[ir LOC 二 T9; NET "Clk" LOC = C9;NET 〃LE:D[O]〃 NET "LE:D[1]〃 NET 〃IJRI)[2]〃 NET "LED[3]" NET,ZLEDE4],Z NET 〃LE:D[5]〃 NET "LED[6]" NET 〃LF:[)[7]"LOC ==U16LOC ==V16LOC ==U15LOC =:V15LOC ==MilLOC ==NilLOC ::RllLOC ==TilNET "Mem_Addr[2]〃 LOC = V9 NET "Mem_Addr[3]" LOC = M8 NET "Mem Addr[4]〃 LOC = K8 NET 〃Mem__Addr[5]〃 LOC = U8 NET 〃Mem_Addr[6]〃 LOC = V8 NET "Mem一Addr[7]〃 LOC = T5NET "Mem Write" LOC = B8:实验仿真结果C <9 CM M m O A9 x 仿》xtt -O # x* !?«<> ,片尸 d e 1/ | i □ ? »X « «*?>]! II I UU-1.11414LJ «Obyvct hUnw V«iue UX>C?«J »M001Itr 2^ MMn>ddrp &>011。 h aid ot-i Mem.wme oI* cm >结论(结果)本实验的结果正确,根裾自己写的coe文件中存储的数据进行操作,和实验四 有很多的ffl似处,只是进行简单的读写的操作,实验的结果正确.能够根据操 作,im)灯显示具体的数据.试验心得与小结本实验和实验叫比较来说的话,更为简单,利用IP核中储存数据,我们就可以 通过其中存储的数据进行操作,代码也是比较的简单,我们直接调用IP核生成 的模块的代码,以至于操作也比较的方便.刚开始不熟悉使川IP核,了解了之 f就比较简单了,比第四个实验自己写寄存器更简单.成绩评定: 指导教师签名: 关 键 词: 计算机 组成 原理 存储器 设计 实验

4d91c43bfc72ca913299809b07b4968f.gif  天天文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值