计算机体系结构结构相关实验报告,计算机体系结构实验报告.doc

《计算机体系结构》

实验报告

计算机实验教学中心

实验名称FIFO存储器实验地点信息楼418实验日期2015.10

实验目的

掌握FIFO 存储器的工作特性和读写方法

实验设备

PC、唐都实验箱

实验原理

本实验用 FPGA 芯片来实现一个简单的 8 位×4 的 FIFO,器件的接口信号如图 3-2-1,内部逻辑图如下图 3-2-2。

其各信号的功能为:

EMPTY:FIFO 存储器空标志,高电平有效。

FULL:FIFO 存储器满标志,高电平有效。

RST:清 FIFO 存储器为空。

FIFOWR:FIFO 存储器写入信号,低电平有效。

FIFORD:FIFO 存储器读信号,低电平有效。

ID0~ID7:FIFO 存储器输入数据线。

OD0~OD7:FIFO 存储器输出数据线。

实验操作及运行结果

1、按实验连接图接线。注意:连线时实验箱电源要处于关闭状态。

2、确保接线正确后,将实验箱连到电脑:电源线+串口电缆(com口)+并口Jtag下载线(打印机口),并打开实验箱电源。

3、在软件Quartus II 8.0中选择“File->Open Project”选项,按照以下路径查找实验过程中需要下载到FPGA中的数据“C:\TangDu\CMX\FPGA\FIFO\FIFO.qpf(.sof)”(该路径为“FIFO实验”的全路径,以后每次试验都需要用到的公共路径名为“C:\TangDu\CMX\FPGA”),打开该文件后,单击软件中的“Programmer”选项,单击“Start”完成下载。如果下载成功在界面Progress中可以看到100%的标志字样。

4、运行结果

接线图中B03 和B04 是FIFO 空状态、满状态指示信号,分别接到扩展单元指示灯E0、E1 上,用来反映FIFO 当前的状态。

1)实验时,按动系统右下脚的CLR 清零开关可使读、写信号计数清零。这时指示灯E0 亮,表示FIFO 为空。

2)使用CON 单元编号为SD27 到SD20 的开关模拟输入总线给出一个数据,按动时序与操作台单元的开关ST,可将该数写入到FIFO 中。这时指示灯E0 灭,表示FIFO 中已经有数据存在,说明当前FIFO 的输出是有效的;依次写四次后,满标志置位,这时指示灯E1亮。

3)然后连续按动开关KK,给出读信号,将顺序读出所存的四个数,扩展总线的数据显

示灯EB7 到EB0 显示所读出的数据,四个数全部读出后,空标志置位,E0灯亮。检查执行是否与理论值一致。

实验中出现的问题和解决方法

在实验中我们小组遇到了安装USB转串口驱动程序的问题,后参照老师给予的文档成功安装了驱动程序。在连线过程中,有一根线出现了短路,导致实验结果运行错误,后经我们排查后发现,其中的一根导线断裂,更换后,成功运行实验。

我饿们这次实验掌握FIFO 存储器的工作特性和读写方法,达到了实验目的,提高了小组成员的动手能力,收获很大。

实验名称多通路运算器和寄存器堆实验地点信息楼418实验日期2015.10

一、实验目的

掌握多通路的运算器与寄存器堆的工作原理及设计方法

实验设备

PC、唐都实验箱

实验原理

从 IN 单元读入一个数据,存入 R0;从 IN 单元读入

另一个数据,存于 R1;将 R0 和 R1 相加,结果存于 R0;将 R0 和 R1 相加,结果存于 R3,同

时打入暂存器 A 中;再将 R0 的值送 OUT 单元显示。

根据指令要求,得出用时钟进行驱动的状态机描述,即得出其有限状态机,如图 1-2-4 所

示。

下面分析每个状态中的基本操作:

S0:空操作,系统复位后的状态

S1:IN->R0;从 IN 单元往 R0 中打一个数

S2:IN->R1; 从 IN 单元往 R1 中打一个数

S3:R0 ->A, R1 ->B;同时把 R0、R1 中的数打入暂存器 A、B 中

S4:A+B->R0;将 A+B 的结果送往 R0

S5:A+B->R3,A+B->A; 增加暂存器旁路,将 A+B 的结果送往 R3 的同时打入暂存器 A

S6:R0->OUT;把 R0 中的数送入输出单元显示。

实验操作及运行结果

1、把时序与操作台单元的“MODE”短路块插上,使系统工作在四节拍模式,按实验连接图接线。注意:连线时实验箱电源要处于关闭状态。

2、确保接线正确后,将实验箱连到电脑:电源线+并口Jtag下载线(打印机口),并打开实验箱电源。

3、在软件Quartus II 8.0中选择“File->Open Project”选项,按照以下路径查找实验过程中需要下载到FPGA中的数据“C:\TangDu\CMX\FPGA\ALU&REG \ ALU&REG.qpf(.sof)”,打开该文件后,单击软件中的“Prog

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值