出租车计费器程序c语言,出租车计费器verilog HDL源程序

本文详细介绍了使用Verilog HDL设计出租车计费器的过程,包括性能指标、设计思路、各模块程序设计、调试过程和功能测试。设计中,计费器依据不同行驶距离调整费用,超过特定里程后费用递增,并在乘客上下车时通过流水灯功能增加互动体验。
摘要由CSDN通过智能技术生成

设计并制作一台出租车计费器。

1.1.2 性能指标要求

① 用EDA实训仪的I/O设备和PLD芯片实现出租车计费器的设计。

② 出租车起步开始计程和计费,计程系统按实际公里数计程,计费系统首先显示起步价(如7.0),车行驶2km以内,只收起步价7元。

③ 出租车行驶超过2km后,按每公里1.6元在7.0元的基础上增加。

④ 出租车行驶超过10km后(或超过20元路费),每公里加收50%的车费,即车费变为每公里2.4元。

⑤ 出租车达到目的地后,(用一个按钮)计程和计费数据清零,为下一次计费开始。

1.2 设计思路及设计框图

1.2.1设计思路

本次设计首先在QuartusⅡ环境中对出租车计费器的各个部分利用verilog HDL这一硬件描述语言予以设计、生成模块。而整个设计的核心部分就在分频/计量模块和路程车费计数模块,分频/计量模块的功能主要是用来产生路程脉冲功能,路程车费技术模块主要包括计算路程和车费,通过路程和车费之间的关系,当路程少于一定公里数时车费保持不变,达到一定公里数按照规则每公里增加车费,超过某公里时,再每公里加收车费。待所有模块的功能正确之后,运用原理图搭建顶层电路并进行整体仿真直至达到设计要求,最后再在实验箱上检验设计的正确与否。在实现基本功能的基础上,做了一个创新,设计流水灯,当乘客上车时,流水灯开始运转,乘客下车时,流水灯停止。

3f829e29e76caa8c3b1f630a6b27d58d.gif

51hei.png (6.17 KB, 下载次数: 7)

2020-11-4 21:38 上传

各个模块程序的设计

2.1一秒钟分频器路程计数器的设计

通过秒脉冲来实现计费器调价,超过9自动进一位、实现计费脉冲。

cc6650b23e80f23cfb16e86f40826e42.png

路程模块:

路程模块用于控制数码管SEG4~SEG7的显示,输入有2个,分别是nclk,clrn。这里还有一个寄存器类型的输出d,是为了给计费器进行一个路程提示。

<
  • 9
    点赞
  • 58
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值