FPGA
2021乐乐
交流学习
展开
-
xilinx 以太网的总结
PHY寄存器以太网PHY寄存器分析转载 2021-10-13 15:54:19 · 495 阅读 · 0 评论 -
FPGA数码管的显示总结
1:由于视觉暂留效应,首先生成1k 的时钟。2: 数码管位选和 段选,确定信号是高电平有效,还是低电平有效。3: 知道0~f 数字用数码管怎么表示。4: 仿真的情况: 应该是生成1k 时钟的时候,再输入显示的数据。源代码:`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: //原创 2021-08-04 15:06:32 · 1263 阅读 · 3 评论 -
[HDL 9-806] Syntax error near “non-printable character with the hex value ‘0xef‘“. [“F:/test_code/HE
符号错误 “:” ,注意中英文符号的差异原创 2021-08-04 14:17:45 · 4904 阅读 · 0 评论 -
上升沿和下降沿的判断
FPGA 中的上升沿和下降沿的判断,原理都是buffer 进行缓存。要分清楚的是,信号是先通过buffer0 或者 reg1,最后是通过 buffer1 和 reg2 , reg_x ,一定是先通过数值小的,再通过数值大的。方法1: 采用拼接的方式 reg [1:0] r_Key; always@(posedge Clk) r_Key <= {r_Key[0],Key}; wire pedge_key; assign pedge_key = r_Key原创 2021-08-03 10:35:50 · 4932 阅读 · 0 评论 -
如何确定位数
直接看hex 部分,hex部分 6位数 6*4=24 2 代表2进制2位,所以一共是[25:0]原创 2021-02-09 15:08:34 · 283 阅读 · 0 评论 -
网骆端口调试助手,调试端口打不开
1:学习FPGA 的过程当中,网络调试助手端口号6000 打不开,后来发现是6000 端口号被占用了C:\Users\25711>netstat -ano|findstr "6000" UDP 0.0.0.0:6000 *:* 5920C:\Users\25711>tasklist|findstrFINDSTR: 错误的命令行C:\Users\25711>tasklist|原创 2021-01-17 14:05:45 · 1110 阅读 · 0 评论 -
FPGA 十进制 转化为二进制
请原谅: 代码还没有写完,后面一定补上module operation( input clk , input rst_n, output reg [3:0] num_add, output reg [3:0] num_sub, output reg [6:0] num_mul, output reg [3:0] num_div, output reg [3:0] num_rem, output reg [9:0] num_bin ).原创 2020-12-14 23:09:18 · 3954 阅读 · 0 评论 -
FPGA触摸按键控制led灯
第一:项目需求使用触摸按键控制LED灯亮灭,开发板上电后LED为点亮状态,手指触摸后LED熄灭,再次 触摸,LED点亮。 第二:源代码module touch_led( input clk, input rst_n, input touch, output reg led );reg touch_en;reg touch_d0;reg touch_d1;assign up=(~touch_d0)&(touch_d1);// 确定上升沿的程序alw原创 2020-10-21 20:56:34 · 764 阅读 · 1 评论 -
EP4CE10F17C8 的按键控制蜂鸣器仿真
第一: 项目需求 使用按键控制蜂鸣器发声。初始状态为蜂鸣器鸣叫,按下开关后蜂鸣器停 止鸣叫,再次按下开关,蜂鸣器重新鸣叫。第二:运行的源代码 第三:测试用的仿真源代码...原创 2020-10-21 20:50:04 · 1477 阅读 · 0 评论 -
FPGA 按键控制流水灯的状态及其仿真
第一:项目需求, 四种按键对应四种不同的LED灯状态。,不同状态变化时间间隔是0.2s。第二:运行的代码//右下角坐标,如何改变时间尺度module key_led( input sys_clk , //50Mhz系统时钟 input sys_rst_n, //系统复位,低有效 input [3:0] key, //按键输入信号 output r...原创 2020-10-21 20:19:24 · 2839 阅读 · 1 评论 -
FPGA 流水灯设计及其仿真
本文主要是给出 0.2s 固定间隔时间的流水灯和测试文件FPGA 运行文件module flow_led( input clk, input rst_n, output reg[3:0] led);reg [23:0] counter;always@(posedge clk or negedge rst_n)begin if(!rst_n) counter<=23'd0; else if(counter < 23'd10) //为了仿真需原创 2020-10-19 16:52:37 · 1576 阅读 · 0 评论 -
FPGA 动态数码管显示
分为3个文件,分别是顶层文件,计数文件,和显示部分1:计数文件: 100ms 数据更新,同时产生数码管使能信号en2: 显示部分 data 数据,需要分为个位 十位 百位 千位 万位 十万位 分频器 产生5Mhz 的信号 确定要显示的位数,从data5...........data1,一共需要占用几个数码管 数码管轮流显示的1ms ,而且产生标志位flag 数码管位置的轮流显显示,间隔...原创 2020-09-23 16:58:46 · 661 阅读 · 0 评论 -
FPGA 静态显示数码管
显示0~9module seg_sel_led( input sys_clk, input sys_rst_n, output reg [5:0] sel, output reg [7:0] seg_led);parameter MAX_NUM=25'd2500_0000;reg [24:0] cnt;reg [3:0]num;reg flag;//0.5 s 的延时always@(posedge sys_clk or negedge sys_rst_n)begin .原创 2020-09-22 18:51:09 · 635 阅读 · 0 评论 -
数字电路基础笔记
1: 数字电路第一章: 与或 非 同或 异或 第二章:时序图 逻辑函数 逆向原创 2020-08-06 23:29:43 · 664 阅读 · 0 评论 -
FPGA 串口调试 心得
基于正点原子开拓者FPGA , 进行串口的调试。发现与单片机相比较,单片机的库函数开发, 一条语句解决的事情, FPGA 可能要写一二百行。废话少说,记录一些容易被忽视的点。串口的代码分为三部分,分别是串口的发送,串口的接收,以及顶层的文件。1:串口的发送部分串口的发送部分易错点:1:串口的发送部分的信号使能端使用的电平是高电平。 en_flag ,uart_send_d0, uart_send_d1; 2:串口...原创 2020-09-22 16:26:23 · 1277 阅读 · 0 评论