评价微型计算机总线的性能指标,计算机组成原理学习笔记(3):总线

文章目录

课程笔记导览

附录:英语解释

第三章 总线

3.1 总线的基本概念

使用总线的原因:

总线的定义

总线上信息的传送

总线结构举例

单总线结构

面向CPU的双总线结构

以存储器为中心的双总线结构框图

3.2 总线的分类

3.3 总线性能及性能指标

总线物理实现

总线特性

总线的性能指标

总线的标准

3.4 总线结构

单总线结构

多总线结构

总线结构举例

3.5 总线控制

总线判优控制

总线判优控制分类:

链式查询实现过程:

计数器定时查询

独立请求方式

总线通信控制

总线传输周期

总线通信的四种方式

课程笔记导览

计算机组成原理学习笔记(1):概述

计算机组成原理学习笔记(2):发展

计算机组成原理学习笔记(3):总线

计算机组成原理学习笔记(4):存储器

计算机组成原理学习笔记(5):I/O

计算机组成原理学习笔记(6):数字

计算机组成原理学习笔记(7):指令系统

计算机组成原理学习笔记(8):CPU的结构和功能

计算机组成原理学习笔记(9):控制单元的功能

计算机组成原理学习笔记(10):控制单元的设计

附录:英语解释

CPU——Central Processing Unit,中央处理机(器)

PC——Program Counter,程序计数器,存放当前欲执行指令的地址,并可自动计数形成下一条指令地址的计数器

IR——Instruction Register,指令寄存器,存放当前正在执行的指令的寄存器

CU——Control Unit,控制单元(部件),控制器中产生微操作命令序列的部件,为控制器的核心部件

ALU——Arithmetic Logic Unit,算术逻辑运算单元,运算器中完成算术逻辑运算的逻辑部件

ACC——Accumulator,累加器,运算器中运算前存放操作数、运算后存放运算结果的寄存器

MQ——Multiplier-Quotient Register,乘商寄存器,乘法运算时存放乘数、除法时存放商的寄存器

X——此字母没有专指的缩写含义,可以用作任一部件名,在此表示操作数寄存器,即运算器中工作寄存器之一,用来存放操作数

MAR——Memory Address Register,存储器地址寄存器,内存中用来存放欲访问存储单元地址的寄存器

MDR——Memory Data Register,存储器数据缓冲寄存器,主存中用来存放从某单元读出、或写入某存储单元数据的寄存器

I/O——Input/Output equipment,输入/输出设备,为输入设备和输出设备的总称,用于计算机内部和外界信息的转换与传送

MIPS——Million Instruction Per Second,每秒执行百万条指令数,为计算机运算速度指标的一种计量单位

第三章 总线

3.1 总线的基本概念

使用总线的原因:

避免不必要的部件两两链接

使得可扩展外设成为可能,新增外设不需要重新布线链接到所有原有部件

总线的定义

总线是连接各个部件的信息传输线,是各个部件共享的传输介质

总线上信息的传送

串行:传输距离比较远,机器之间

并行:多位数据共同传输,传输距离比较短会存在相互干扰,计算机内部

805f4a5e066f64e1200a40052a45098a.png

总线结构举例

单总线结构

单总线成为瓶颈,因为统一时间只能有一个设备在使用总线

3c2df2304da147c88601821dd6cfa4d7.jpg

面向CPU的双总线结构

因为数据存储和读取所以改进出M总线

限制外部设备和贮存之间的通信会很麻烦

b68f88185d219279493d272a96f2ffe3.png

以存储器为中心的双总线结构框图

dfac954a318846ac2c63913317e06f1c.png

3.2 总线的分类

021f4d1ced9c987f9c4c1f5e479dd60f.png

bb5b10d7afc548f68f5bd08b82c26c7d.png

3.3 总线性能及性能指标

总线物理实现

b91e96581b9dd4ed80911582e9f6dbff.png

总线特性

机械特性:尺寸、形状、管脚数及排列顺序

电气特性:传输方向和有效的电平范围

功能特性:每根传输线的功能(地址、数据、控制)

时间特性

总线的性能指标

总线宽度:数据线的根数

标准传输率:每秒传输的最大字节数(MBps)

时钟同步/异步:同步、不同步

总线复用:地址线与数据线复用

信号线数:地址线、数据线和控制线的总和

总线控制方式:突发、自动、仲裁、逻辑、计数

其他指标:负载能力

总线的标准

c4149a264007c94985232b618ce38165.png

51585621d8ed220ea4bf98d97c5c4a2c.png

3.4 总线结构

单总线结构

6c95c16eecd11e6db1411920a4dbf1c0.png

多总线结构

双总线结构

34d64a820cd48f36c8b6b57f1f03e2fa.png

三总线结构

f2bb2f6ca391416f5d5dec447ac026e2.png

75cf0f1f06367074f8daf707b8346ebf.png

四总线结构

6661f332ac39749b9b3f0eb300fd2d96.png

总线结构举例

98fe8bc031de408476d9eb0e93cbd390.png

318745bab65a65c71fdc73e391315165.png

08b8e7d6452c6d86457898728d56479d.png

af1ba89535a0a86bb4107aee098cb17f.png

3.5 总线控制

总线判优控制

主设备(模块):对总线有控制权

·从设备(模块):响应从主设备发来的总线命令

总线判优控制分类:

集中式

链式查询

计数器定时查询

独立请求方式

分布式

链式查询实现过程:

实现过程

接口从总线发出请求信号,此时总线控制部件接收到请求但是不知道具体是哪个I/O发出的请求,总线同意线进行链式查询,直到查找到第一个有总线请求的I/O接口,然后设置总线忙,之后设备就可以使用总线了

示意图

37455983b1d9c1c86ad0c0ab6fc26d95.png

评价

电路故障特别敏感尤其是BG,速度比较慢

结构简单好设计,增加设备很容易,优先级算法很容易,可靠性设计很容易实现

使用场景

微型计算机和嵌入式系统

计数器定时查询

实现过程

总线控制部件接收到通过BR发出的请求后,在可以应答的情况下,启动计数器,对计数器等于0对应的地址进行查询,如果不是它发出的就自动加1,并进行下一个地址的查询,直到查找到发出信号的设备

示意图

efb82007ea049b12d6227fa8a6662887.png

评价

优先级确定很灵活,计数器的初值可以设置顺序可以调整

因为设备地址线是根据设备数决定的如果有八个设备就需要三条设备地址线,才能通过计数器完成相应的查找映射

独立请求方式

实现过程

多个设备发出请求信号,根据排队器进行排队,选取优先级最高的进行应答

示意图

a631e5df35b8108f45e89d27551d9205.png

评价

优先级非常灵活

线数比较多

总线通信控制

目的:解决通信双方协调配合问题

总线传输周期

申请分配阶段:主模块申请,总线仲裁决定

寻址阶段:主模块向从模块给出地址和命令

传数阶段:主模块和从模块交换数据

结束阶段:主模块撤消有关信息

总线通信的四种方式

同步通信:由统一时标控制数据传送

异步通信:采用应答方式,没有公共时钟标准

半同步通信:同步、异步结合

分离式通信:充分挖掘系统总线每个瞬间的潜力

同步通信

每一个时钟周期的沿作为一个操作的时间节点

应用场景总线长度比较短

同步数据输入

84f03120db00e5a87b925169c1b0d6cf.png

同步数据输出

a8e47739d3cbcd6c722427d45e703ea8.png

异步通信

通过请求和回答进行通信联络

ca8d7caf32a9c7ca11ad00e4f63b5794.png

半同步通信

增加了等待信号为了适应不同响应速度的主从设备

33ba011866f65e1e26c25e9d9b6bf493.png

ed9f759de7801c48b213fe969fb24280.png

48beb09fcb0574c97e2362f8c9d13221.png

上述三种通信的共同点:

在一个总线传输周期(以输入数据为例)

主模块发地址、命令占用总线

从模块准备数据不占用总线(总线空闲)

从模块向主模块发数据占用总线

分离式通信

分离出两个部分周期 两次申请,一次准备数据或者相应的时间就会被空出来可以做其他的事情

57a3e013c5a81a56de18cf61b89ef7db.png

特点

各模块有权申请占用总线

采用同步方式通信,不等对方回答

各模块准备数据时,不占用总线

总线被占用时,无空闲

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值