自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(169)
  • 资源 (4355)
  • 收藏
  • 关注

转载 服务器都没有显卡型号吗,云服务器都没显卡么?

不是所有的云服务器都没显卡,有些类型的云服务器就是有显卡的。比如,gpu云服务器就是有显卡的。因为gpu云服务器一般可用于渲染场景、远程图形工作站等。或者那些专门适合网页游戏挂机的服务器也有显卡。当然,一般类型的弹性云服务器是不配显卡的,显卡也不会影响其性能。一般有显卡的主机模式,支持安卓模拟器、DirectX功能,处理器+内存+显卡,是GPU云服务器的组成要素。GPU云服务器具备显卡,目前一般采...

2021-08-12 15:57:35 3180

转载 服务器适合安装什么系统,服务器安装什么系统好

服务器安装什么系统好 内容精选换一换如果Linux操作系统弹性云服务器未安装密码重置插件,可以参见本节内容重新设置密码。本节操作重置的是root用户的密码,您可以重置完root密码后登录云服务器后再更换密钥或重置非root用户的密码。Windows操作系统请参见重置Windows云服务器密码(未安装重置密码插件)。如果弹性云服务器提前安装了密码重置插件,请参见在控制台重置云如果您需要使用毕昇编译器...

2021-08-11 02:00:45 620

转载 ajax无法显示,无法使用ajax显示图像

4 个答案:答案 0 :(得分:1)实际上你有一个错误的结束标记:$(function () {$('.user_name').keyup(function () {$('.check').show(); $('.check').fadeIn(400).html(' ');}); //}); //你可以试试这个:$(function () {$('.user_name').keyup...

2021-08-06 15:38:03 268

转载 上传附件显示服务器i o错误,电脑中打开CDR文件出现I/O读错误提示的解决方法

‍CorelDraw是一款专业图形设计软件,平面设计、网页设计等领域都会涉及。如果出现打开电脑中的CDR文件后,提示“I/O读错误”的情况,应该要如何进行解决呢?这时,我们可以参考接下来提供的方法,尝试解决这个问题。1、打开CDR文件会提示I/O错误,这时CDR会显示打开一个空白的未命名文件;2、如果通过菜单栏打开文件,则会弹出I/O读错误提示;3、这时在文件所在的同一个文件夹内找到一个名字很长的...

2021-08-03 13:25:45 2144

转载 用什么软件能测试dbm信号强度,如何测试手机通讯信号的强弱

原标题:如何测试手机通讯信号的强弱近日,有用户向小编提问,为什么感觉自己手机信号就是比别人的弱呢?在这里呢,小编先告诉大家,手机信号分为网络信号和通信信号。那今天呢,小编就和大家来分享一下一个自己测试手机通信信号是否异常的方法。我们用的方法是通过两台通信芯片相同或相似的手机进行比较的方法,建议大家选取推出时间差不多的手机进行比较。而要比较两台手机的信号差异,必须使两手机在同一条件下,否则没有比较意...

2021-07-22 23:17:16 1601

转载 港大计算机音乐,HKU & HKAPA Join Hands in Music Education

HKU & HKAPA Join Hands in Music Education07 Nov 2005The Department of Music of the University of Hong Kong and The School of Music of the Hong Kong Academy for Performing Arts has collaborated on ...

2021-07-19 11:24:19 149

转载 计算机问电话号码教程,Excel统计某电话号码有多少人打过EXCEL基本教程 -电脑资料...

Private Sub CommandButton1_Click()Sheets(2).Rows(2 & ":" & 65536) = ""Sheets(2).Columns("B:IV") = ""Dim Ls, i, j, Isa, k, yhsIsa = Falsei = 2If Sheets(1).Cells(1, 2) = "" ThenMsgBox "没有用户,无法统计...

2021-07-10 00:21:33 195

转载 html5 js操作回退,HTML5播放器 MediaElement.js 使用方法

目前已经有很多html5播放器可以使用,使用html5播放器可以轻松的在页面中插入媒体视频,从而使我们的web页面变得更加丰富多彩,所以今 天向大家推荐一款非常优秀的html5播放器MediaElement.js,它不仅能够添加我们常用的html5视频格式(mp4,m4v,mov), 而且还支持回退方式,即一些低版本的浏览器不支持HTML5播放可以采取flash的方式进行播放,从而达到支持绝大...

2021-07-09 07:39:43 309

转载 西南科技大学 计算机学院 ppt,西南科技大学毕业设计 选题管理系统

内容简介:西南科技大学毕业设计 选题管理系统,共58页,21560字。摘要:随着网络技术和办公自动化的高速发展,信息技术已经深入到了社会的每一个角落而手工管理操作也逐渐被自动网络化管理取代,学校又是接受现代化信息的先行者和传播者。因此,学校的课题管理是教学网络管理的一项重要内容。设计本系统的目的是为了方便老师申报毕业设计课题和学生毕业设计选题,满足学生在网上填报志愿和查询志愿以及老师在查看课题和申...

2021-07-08 21:14:30 519

转载 利用计算机控制,计算机控制技术综述

定和难以用传统非线性控制理论处理的装置。(3)专家控制技术专家控制技术以模仿人类智能为基础,将工程控制论与专家系统结合(4)机器学习技术机器学习控制系统能在运行过程中逐步获得有关被控对象及环境的非预知信息,积累控制经验并在一定的评价标准下进行估值、分类、决策和不断改善系统品质。3.3 单片机的应用将更加深入由于单片机具有集成度高、功能强、可靠性高、体积小、功耗低、价格廉、灵活方便等一系列优点。各类...

2021-06-29 23:23:09 190

转载 计算机组装需要做哪些准备工作,请问高手组装电脑?要做好那些准备工作?和工具?...

在动手组装电脑前,应先学习电脑的基本知识,包括硬件结构、日常使用的维护知识、常见故障处理、操作系统和常用软件安装等。安装前配件的准备装机要有自己的打算,不要盲目攀比,按实际需要购买配件。如选购机箱时,要注意内部结构合理化,便于安装,二要注意美观,颜色与其他配件相配。一般应选择立式机箱,不要使用已淘汰的卧式机箱,特别是机箱内的电源,它关系到整个电脑的稳定运行,其输出功率不应小于250 W,有的处理器...

2021-06-21 12:10:59 1470

转载 2018年大学计算机考试时间,2018年上半年CET口试考试时间及科目安排

1.考试时间5月19日:大学英语四级口语考试(CET-SET4)。5月20日:大学英语六级口语考试(CET-SET6)。2.报考资格大学英语四级口试: 仅接受完成2018年上半年大学英语四级笔试报考的考生。大学英语六级口试: 仅接受完成2018年上半年大学英语六级笔试报考的考生。3.考试内容及形式大学英语四、六级口语考试主要考核学生的英语口头表达能力,具体为:就熟悉话题进行比较流利的会话能力;表达...

2021-06-21 03:45:45 83

转载 台式计算机的电功率约为,2018年中物理总复习(人教版) 教材知识梳理-18 电功率-物理大师...

8.右图为某同学实验时所用电路,电路中电流表量程为0~0.6 A,电压表量程为0~3 V,滑动变阻器的最大阻值为50 Ω,定值电阻R0为10 Ω,电源电压为6 V且保持不变,实验时操作正确并保证电路各器材安全,则在闭合开关后移动滑片P的过程中()A.电流表的最大示数是0.6 AB.电压表的最小示数是1 VC.滑动变阻器的最小功率是1.5 WD.电阻R0的最大功率是0.6 W答案:B解析:当电压表的...

2021-06-20 00:51:12 48

转载 电脑没网络在计算机哪,电脑的以太网在哪

以太网地址分为二层物理地址(即MAC地址)和三层逻辑地址(即IP地址)使用ipconfig命令查看。具体操作:开始 运行 输入cmd 进入命令行模式 输入ipconfig /all 例如:C:\Documents and Settings\aaaipconfig /allWindows IP ConfigurationHost Name . . . . . . . . . . . . : wetP...

2021-06-18 06:02:50 841

转载 计算机组装维修中级试题,计算机装配调试员试卷B(中级)

《计算机调试》(中级)试卷B一、 选择题(1分×30=30分)1、使用硬盘Cache的目的是( B )A.增加硬盘容量 B.提高硬盘读写信息的速度C.实现动态信息存储 D.实现静态信息存储2、多媒体计算机由硬件和( D )组成A、主机 B、系统软件 C、操作系统 D、软件3、安装硬盘的正确步骤为( A )A、...

2021-06-17 02:43:27 318

转载 html div 浮动 关闭,浮动闭合解决方案---html-div浮动闭合问题笔记

最近在做一个母校的英文站点项目,虽然界面做的丑陋,但是还是想尽可能的做好,但是今儿遇到了一个非常棘手的问题;如图:问题描述:一个父层div被设置成自动填充高度的布局层,然后带有边框,内部则是图片、文字的左右浮动层;html结构如下:container为外层父级元素,是有float:left属性的,也就是传说中的浮动;而内侧img texter又是两个浮动元素,一个浮动到左侧,一个浮动到右侧(实际上...

2021-06-15 20:29:16 221

转载 html导出pdf 滚动条,html让局部强制出现滚动条不破坏整体的样式和布局.pdf

局部出现滚动条 ,这样就不会破坏整体的样式和布局了,下面有个不错的示例,大家可以拷贝代码到文本文档修改文本后缀名 ,txt 为 .html 预览效果先贴出效果图 :局部出现滚动条 ,这样就不会破坏整体的样式和布局了 ...

2021-06-09 23:15:33 325

转载 html2pdf页面大小,javascript – JSPDF – 如何将具有各种页面大小(高度和宽度)的多个图像导出到单个pdf文件...

我有多个不同大小(高度和宽度)的图像需要使用jspdf转换为PDF,但我无法使用addPage()函数来做到这一点.是否可以将具有不同页面大小的图像导出为单个pdf?解决方法:我实际上能够使用addPage([imgWidth, imgHeight])添加具有不同图像大小的多个页面,除了第一页,其由新的jsPDF(‘l’,’pt’,’a4′)定义.可以使用.deletePage(1)删除空白的第一...

2021-06-03 05:57:13 1547

转载 html5新增哪些属性,html5新增属性

8种机械键盘轴体对比本人程序员,要买一个写代码的键盘,请问红轴和茶轴怎么选?contextmenucontextmenu 是上下文菜单,即鼠标右击元素会出现一个菜单。menu要实现鼠标右击元素会出现一个菜单还必须配合menu标签menu元素属性: type有三个值1)context:上下文; 2)toolbar:工具栏;3)list:列表内部可以嵌入一个一个菜单项,即。menuitem 属性:l...

2021-06-03 02:07:45 192

转载 html5弹球游戏的实现,HTML5 Canvas 木板弹球小游戏/碰撞检测和反弹

JavaScript语言:JaveScriptBabelCoffeeScript确定var ctx;var mObjects = [];window.onload = function() {var canvas = document.getElementById('c');canvas.width = window.innerWidth;canvas.height = window.innerH...

2021-05-30 20:29:40 451

转载 鸿蒙测试机型微博,华为多款机型开启鸿蒙尝鲜:微博已适配HarmonyOS小尾巴

日前,华为已经正式宣布,将于6月2日晚20点召开鸿蒙操作系统及华为全场景新品发布会,届时将正式发布鸿蒙OS正式版。同时,今天华为还开启了鸿蒙OS首批消费者尝鲜计划,其中正式版可参与机型包括Mate 40系列、Mate X2、Mate 30系列、Matepad Pro系列、P40系列等多款机型。根据参与测试的用户反馈,微博客户端已经为鸿蒙OS系统进行了专属适配,现在升级鸿蒙系统的机型在微博已经可以显...

2021-05-29 18:27:34 72

转载 乐视pro3应用鸿蒙OS,完美兼容、无广告,初体验华为鸿蒙系统,鸿蒙OS明显优于iOS?...

原标题:完美兼容、无广告,初体验华为鸿蒙系统,鸿蒙OS明显优于iOS?周末的时间体验了一下最近比较热门的华为鸿蒙系统,说说感受,第一感觉就是原来的APP都能用,没有闪退等现象,电量消耗和以前基本一样(相对于iPhone,华为的手机电池真的耐用),UI有些改变,原来的四列加了一列,此外字体看着也是舒服了很多。 在众多的国产手机中,华为的人气无疑是最高的,在美国制裁事件之前,华为将EMUI系统优化成国...

2021-05-29 17:25:33 5584

转载 鸿蒙出现问题任正非坦言,华为“鸿蒙系统”前景系扑朔迷离?任正非坦言出现一个关键性问题...

原标题:华为“鸿蒙系统”前景系扑朔迷离?任正非坦言出现一个关键性问题众所周知,此前华为破釜沉舟,宣布鸿蒙的出世,让国人倍感震惊。然而,最近华为任正非在接受外媒的采访,也发表了关于鸿蒙系统的看法。采访过程中,在被问到关于鸿蒙系统的问题时,任正非的回答也非常的谨慎。 对于鸿蒙系统,任正非表示很有可能比安卓和苹果的操作系统要快,根据测试的数据可以知道,鸿蒙系统比安卓系统的响应速度要快上60%。但是任正非...

2021-05-28 22:06:37 75

转载 Linux怎么接移动热点,Linux网络配置:手提在连接WIFI热点情况下,Ubuntu16.04中怎样配置网络?...

Linux网络配置:手提在连接WIFI热点情况下,Ubuntu16.04中怎样配置网络?,八维资本近期战略投资重磅项目MobileCoin、LibraCredit,橇凑站肆俏,吉利首款新能源SUV来袭 帝豪GSe预告图曝光,木傅乩到扰《http://weibo.com/1626p/2309274243056411947382》m25qxC滞辽帜挡嚷居《http://weibo.com/LvOGp/...

2021-05-28 08:11:49 370

转载 android 商城 sdk,GitHub - one-mo/YouzanMobileSDK-Android: 有赞云AppSDK是为移动端应用打造的电商交易系统,通过一个SDK便可以在APP内集成有...

[集成腾讯X5内核的开店SDK](注意:如果从原生WebView的SDK版本升级到X5内核的SDK版本时,务必查看相关文档)[基于原生WebView的开店SDK]不建议继续使用有赞云App SDK(Android端)为了满足移动应用搭建商城的需求,有赞云将有赞积累多年的电商交易系统开放,移动开发者通过一个 SDK 便可以在 App 内集成有赞提供的整个交易服务,除了享受完善的商城功能、丰富的营销...

2021-05-27 09:42:54 326

转载 android setprogress,android studio进度条调用progressbar.setProgress(i)时出现空错误

当我运行一个流程并检查其进度值时,我试图更新其UI以向用户显示当前进度。但是我在进度栏上一直没有显示任何内容。下面是我的java代码if(upload_dialog_shown == false) {Upload_dialog = new ProgressDialog(Local_data_activity.this);Upload_dialog.show();//Set the content ...

2021-05-27 02:42:33 529

转载 android sdk版本兼容,Android 版本兼容

常用命令查看一个手机的cpu架构adb shell cat /proc/cpuinfo查看手机的SDK版本adb shell getprop ro.build.version.releaseRequiresApi需要注意的是,该注解仅仅在编辑阶段才有用,如@RequiresApi(api = Build.VERSION_CODES.LOLLIPOP)private void func() {}pr...

2021-05-26 08:15:28 338

转载 c语言51单片机重复执行,哪位师傅知道51单片机怎样编写子程序?C语言的。在主程序里调...

该楼层疑似违规已被系统折叠隐藏此楼查看此楼/*************************************************************************************** 外部中断0实验 *实现现象:下载程序后按下K3按键可以对D1小灯状态取反。注意事项:无。***************...

2021-05-24 15:24:51 289

转载 c语言用循环结构求幂计算,数据结构 用C语言描述按照四则运算加、减、乘、除和幂运算(↑)优先关系的惯例,画出对下列算术表达式求值时操作数栈和运算符...

共回答了16个问题采纳率:93.8%#include #define maxsize 100typedef int Datatype;typedef struct{Datatype data[maxsize];int n;}seqlist;seqlist* createNullList_seq(void){seqlist *l;l=(seqlist*)malloc(sizeof(seqlist))...

2021-05-23 05:31:25 1222

转载 c语言实现多个生产者和消费者,c语言实现多个生产者和消费者.doc

操作系统的一个经典问题是"生产者-消费者"问题, 这涉及同步信号量和互斥信号量的应用, 在这里,我用线程的同步和互斥来实现./** author 张文* 2008/06/20*/#include #include #include #include #include #define N 2???// 消费者或者生产者的数目#define M 10?// 缓冲数目int in = 0;???// 生...

2021-05-22 08:43:13 696

转载 c语言万年历的源代码,C语言万年历的源程序

该楼层疑似违规已被系统折叠隐藏此楼查看此楼for(j=1;j<=mon[i];j++){cprintf("%3d",j);/*if((first+j-1)%7==0)putchar('\n');*/}/*first=(first+mon[i])%7;if(first==0)first=7;*/}}voidmonth5_8(){for(i=0;i<2;i++){window(2+i...

2021-05-22 06:46:00 217

转载 计算机c语言二级题库108套,国家计算机二级C语言108套操作题真题及答案解析试题精编版.doc...

所属年份:2010.9;2011.3;2012.3;2012.9下列给定程序中,函数fun的功能是:计算如下公式直到,并且把计算结果作为函数值返回。例如,若形参e的值为1e-3,则函数返回值为0.551690。请在下画线处填入正确的内容并将下画线删除,使程序得出正确的结果。注意:部分源程序在文件BLANK1.C中。不得增行或删行,也不得更改程序的结构!#include double fun(do...

2021-05-22 01:48:48 231

转载 c语言移动下表为奇数的,c语言编程 求一维数组中下标为奇数的元素之和 急 一定要c语言的...

假设数组为a,长为nint sum = 0;for(int i = 1;i < n; i = i + 2)sum+=a[i];或者:for i=1 to nif i mod 20 thensum=sum+a(i)end ifnext iprint sum扩展资料:在C++中,一维数组的引用格式为:数组名[下标]例如: int a[10];其中,a是一维数组的数组名,该数组有10个元素,依次表...

2021-05-21 07:36:55 1350

转载 在c语言程序中 实型数据类型分为,在C语言中的实型变量分为两种类型.doc

在C语言中的实型变量分为两种类型第二章 练习题一、填空题1、在C语言中的实型变量分为两种类型,它们是float型和( )型。2、若有定义:char c='\010';则变量c中包含的字符个数为( )3、已知字母a的ASCII码为十进制数97,且设ch为整型变量,则表达式ch='a'+'8'-'3'的值为( )4、在C语言中(以16位PC机为例),一个float型数据在内存中所占的字节数为(...

2021-05-20 12:51:59 659

转载 c语言网络字节序整数,c语言中网络字节序和主机字节序的转换

函数说明相关函数:htonl, htons, ntohl头文件:#include 定义函数:unsigned short int ntohs(unsigned short int netshort);函数说明:ntohs()用来将参数指定的16 位netshort 转换成主机字符顺序.返回值:返回对应的主机顺序.范例:参考getservent().在C/C++写网络程序的时候,往往会遇到字节的网络...

2021-05-17 02:08:53 1514

转载 linux7hugepage,如何使用LINUX HUGEPAGES?

wolf@server1:~$ cat /proc/meminfoMemTotal: 32898820 kBMemFree: 31325304 kBMemAvailable: 32143432 kBBuffers: 304048 kBCached: 615288 kBSwapCached: 0 kBActiv...

2021-05-17 00:54:00 277

转载 linux下忘记weblogic密码,linux下weblogic忘记密码解决办法.docx

linux下weblogic忘记密码解决办法Weblogic 忘记密码的解决办法暂时我只会直接删除domain然后新建一个domain来解决在删除之前,记得先关闭已经启动的weblogic服务可以用命令ps –ef | grep java关闭已经启动的weblogic使用命令Kill -9 6203关闭后在删除然后创建删除domain使用工具登陆linux系统,这里我使用图形化界面vncserv...

2021-05-16 16:37:24 214

转载 linux 页表 分配 回收,Linux内存管理之页面回收(转)

请求调页机制,只要用户态进程继续执行,他们就能获得页框,然而,请求调页没有办法强制进程释放不再使用的页框。因此,迟早所有空闲内存将被分配给进程和高速缓存,Linux内核的页面回收算法(PFRA)采取从用户进程和内核高速缓存“窃取”页框的办法不从伙伴系统的空闲块列表。实际上,在用完所有空闲内存之前,就必须执行页框回收算法。否则,内核很可能陷入一种内存请求的僵局中,并导致系统崩溃。也就是说,要释放一个...

2021-05-14 13:16:14 278

转载 哪些场景会要用到Linux命令,在Linux不同场景中Linux命令的使用方法

今天小编要跟大家分享的文章是关于在Linux不同场景中Linux命令的使用方法。由于Linux在服务器领域应用非常广泛,有很多开源和成熟的软件,目前大多数的应用后台都是部署在Linux上的,熟悉Linux操作系统和相关命令,可以加深我们对软件的架构和运行机制的了解,也可以增加自己核心竞争力、拓宽自己的技能栈,从而获得更高的薪水。不同岗位职级,对于linux能力要求也不相同,如果你是初级测试工程师,...

2021-05-14 09:26:35 1712

转载 linux u识别,基于uCLinux的纸币识别器底层系统研究和实现

摘要:纸币识别器是自助售卖领域的核心设备之一,目前已广泛应用于各种金融交易场合.但随着嵌入式技术的发展,8位或16位单片机裸机已经越来越不能满足应用的需要.而基于金融货币识别类设备对系统实时性响应的特殊要求,嵌入式纸币识别系统的方案必须采用实时操作系统. 然而,嵌入式微处理器和实时操作系统的引入给原有纸币识别系统带来了新的问题,必须对其进行改进.在此基础上,本文结合基于ARM7TDMI核的微处理器...

2021-05-13 23:19:20 64

基于图像分割的matlab深度学习卷积网络用于水陆区域划分_CNN_matlab 图像处理_图像分割_深度学习

基于matlab深度学习工具箱来设计卷积神经网络用来对图像上的水体部分进行识别,并生成水体陆地二值化图像。采用的是9层卷积神经网络用来对图像进行特征提取和分类,水体识别的准确率可以达到96%以上。

2022-09-25

MSP430F5529中文手册_msp430f5529

MSP430F5529中文手册,由英文手册详细翻译而来

2022-09-25

LabVIEW实现多图片的图层堆叠(直接用图片函数进行算法处理,非IMAQ方式)_LabVIEW_图像处理_图层叠加

基于LabVIEW,加载多个图像文件,然后将这些文件的图层进行叠加,处理方法为直接使用LabVIEW原生图像处理函数进行处理,不需要安装IMAQ Vision工具包,处理的图片为BMP格式,用户可简单方便地自行将图片函数换成JPG或PNG等其他格式.

2022-09-25

fastsim fortran_轮轨关系

适用于轮轨关系计算,车辆-轨道耦合动力学。

2022-09-25

网络安全领域 应用密码学 DES算法_密码学 算法

适合本科学习网络安全的人员,讲述c++实现DES算法

2022-09-25

otsu的matlab程序,图像处理学中常用的操作,全局阈值图像二值化_matlab_二值化

otsu的matlab程序,图像处理学中常用的操作,全局阈值图像二值化

2022-09-25

TV的test.html_TV

TV的test.html, 用于测试TV

2022-09-25

鸭群算法_优化算法

使用python编写鸭群算法,与粒子群算法进行对比

2022-09-25

miui 优化adb_miui

小米手机想删除啥删除什么,欢迎使用,有问题联系我

2022-09-25

IDL有用的代码.rar_idl modis

一些有用的资源很很好用。。。。。。。。

2022-09-25

AA.rar_图像处理_视觉处理分析

视觉处理分析 针对图像处理进行识别定位 运用vbai进行处理

2022-09-25

LINGO具有9种逻辑运算符.zip_lingo

LINGO具有9种逻辑运算符,十分高效掌握逻辑计算

2022-09-25

topsis.zip_topsis_政策评价

实现topsis算法,用于指标分析,组内评价,政策分析

2022-09-25

jinchengdiaodu.rar_进程调度

一个模拟的进程调度程序,以加深对进程的概念及进程调度算法的理解.

2022-09-25

vb编程的udp和tcp.rar_UDP_VB_memory7i8_tcp_udp协议vb详解

使用vb语言编程的udp和tcp协议服务器及客户端程序

2022-09-25

[毕业设计]SpringMVC+Ajax酒店管理系统源码.zip_plant81s_springmvc_服务器_酒店_酒店管理设

打开MyEclipse后,建立一个web工程,名称为school,将对应目录的文件复杂进去再部署到tomcat服务器上就ok了!

2022-09-25

基于kalman的GPS静动态滤波算法.rar_GPS卡尔曼滤波_whiler98_卡尔曼 GPS_卡尔曼滤波 动静态滤波_算法

GPS 动静态滤波的卡尔曼滤波技术的应用,希望能够多多交流

2022-09-25

NS.zip_NS方程_ns_ns方程求解方法

可以实现平板流的NS方程求解计算,可以辅助新手入门

2022-09-25

PJ-002AH..zip_arm_zip

Library for Arduino

2022-09-25

INIT_XINTF.rar_F28335 XINTF_XINTF

本模块实现了F28335外部存储器接口初始化

2022-09-25

web01.zip_anyoneeua_javaweb简单注册_trainaem_web登录注册

web简单注册,包含简单的登录注册界面和主页

2022-09-25

如何用IDL处理Shapefile数据.rar_IDL读取shap文件_shp_shp数据_如何用IDL处理Shapefile数

搜集的利用IDL语言进行shp文件读取、编辑等处理系统介绍的资料。

2022-09-25

电话组件,android4.4,默认打开speaker.rar_android_teleservice_电话

android telephone 电话,默认开启speaker

2022-09-25

AHP.rar_层析_层析分析法AHP_层次分析法 matlab_方案优选

通过MATLAB语言编写层析分析法,实现多方案的优选

2022-09-25

ga.zip_GA_参数辨识_洛伦兹_系统辨识_遗传算法辨识

本算法采用遗传算法,用于辨识复杂洛伦兹系统参数。

2022-09-25

vbnet.zip_12864_The Information_outlst

This folder contains the code specific to the VB.NET plugin for SonarQube. To get more information please read the repository main [README](../README.md).

2022-09-25

免注册调用DLL(纯API).zip_api注册dll_com免注册_免注册_免注册调用_免注册调用DLL的源码模块

很久之前收录的,并非原创。歪果仁写的免注册调用DLL的VB源码例子。

2022-09-25

dbnsetup.zip_dbn_dbn 函数封装_dbnsetup_matlab

DBN 中的一个函数,dbnsetup函数,用来设置初始化dbn

2022-09-25

应用Matlab对语音信号进行频谱分析及滤波完整代码.rar_manufacturingncc_数字信号处理_滤波器_频谱分析

用MATLAB编程实现实现滤波,与数字信号处理相关

2022-09-25

6_2_VGG.zip_row65o_vgg_vggnet

用thensorflow实现对VGGNET深度卷积神经网络的建立。

2022-09-25

TCP.zip_letter4tt_purposest2_tcp_windows网络编程_基于流式套接字的客户端设计

1) 完成基于流式套接字的定长数据接收功能; 2) 完成基于流式套接字的变长数据接收功能; 3) 完成基于流式套接字的定长数据回射功能; 4) 完成基于流式套接字的变长数据回射功能。

2022-09-25

UART.rar_deept7x_in_vhdl

Implementation of UART in VHDL

2022-09-25

ca.zip_SAIF_ra

this sis for a asa ds a tkt

2022-09-25

ADC.rar_STM32F103_adc

程序先在TFTLCD模块上显示信息,然后间隔250ms读取一次ADC通道0的值,同时控制led灯

2022-09-25

mima.zip_hadk4v_mima6869_sumwj1_单片机 密码锁

密码锁 上锁 输错报警,改密码功能,输入正确指示灯闪烁 输入错误指示灯闪烁 蜂鸣器报警

2022-09-25

EFP.zip_java code_length5l3

八数码A*算法JAVA代码,简单易懂,无标注说明,源码源于CSDN,代为转载,请支持原作者,本人无任何盈利企图,多谢配合

2022-09-25

CNC Data.rar_Cnc data

Cnc data file for homemade circuit

2022-09-25

VS2010单元测试工具使用.rar_4S3Z_VS2010单元测试工具使用_wingl2t

在VS2010中,单元测试的功能很强大,使得建立单元测试和编写单元测试代码,以及管理和运行单元测试都变得简单起来,通过私有访问器可以对私有方法也能进行单元测试,并且支持数据驱动的单元测试。

2022-09-25

dianziqin.zip_cameravdd_fastergzb_fpga_fpga based _keyboard

The FPGA-based keyboard design is a simple product design that was previously learned.

2022-09-25

Cuda.zip_GPU_cuda_dll_zip

fix cuda for nvidia gpu

2022-09-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除