第一次使用uvm相关环境设置以及test_case的启动——UVM

本文详细介绍了在SystemVerilog环境下使用UVM进行验证时,如何设置TB、Linux终端konsole、cshrc文件、makefile,以及如何将不同case传递给run_test。此外,还讲解了如何打印UVM拓扑结构和启动test_case,包括test_case的作用、base_test的实例化及启动方式。
摘要由CSDN通过智能技术生成

一、TB中需要改动的地方

//1、导入uvm库文件
import uvm_pkg::*;
`include "uvm_macros.svh"
//2、与sv中不一样的地方是他不会由new()的过程了
initial begin
  run_test();
end
//3、生成fsdb波形文件
initial begin
  $fsdbDumpfile
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

zer0hz

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值