自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(4)
  • 收藏
  • 关注

原创 电子罗盘的算法以及倾斜补偿算法

电子罗盘的算法以及倾斜补偿算法基础扫盲1·地磁场2.矢量的叉积和点乘以及数据归一化2.1矢量的叉积2.2矢量的点乘2.3归一化算法1.利用旋转矩阵推导倾斜补偿公式2.利用使用矢量计算方法补偿倾斜这两天在研究电子罗盘的算法,看了若干代码和文章后,终于弄明白了。就此文章总结一下。基础扫盲1·地磁场 地球的磁场像一个条形磁铁。磁场方向是地磁南极指向地磁北极。在南北地磁点处的磁场和当地的水平面垂直...

2020-03-12 18:02:05 5457 1

原创 双机通信之连接心跳包思路

从机代码uint8_t Link_flag;//连接状态uint8_t TIME_flag;//定时器连接状态变量/*********************************************************************************************************** 函 数 名: vTaskTIME* 功能说明: 连...

2020-03-03 22:12:03 875

原创 关于Simple GUI精简字库的制作方法

关于Simple GUI精简字库的制作方法目录Simple GUI简介字库基础知识Simple GUI精简字库的制作方法SimpleGUI简介SimpleGUI是一款针对单色显示屏设计的GUI开源接口库,simpleGUI提供了列表组件、2d画图组件、文本组件、位图组件、滚动条组件等。Simple GUI开源地址:https://gitee.com/Polarix/simpl...

2020-02-29 22:05:57 3320

原创 cc2530学习笔记一

cc2530学习笔记一使用cc2530定时器一的模模式来产生pwm波。计数寄存器T1CC0的值确定pwm波周期,比较寄存器T1CCn(T1CCnH和T1CCnL)确定占空比。(关于定时器的知识请查阅相关文档)代码实现/***************************************************************************** 名 称: ...

2020-01-07 22:38:47 1382 3

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除