自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(3)
  • 收藏
  • 关注

原创 EDA实验(3)计数器设计

EDA实验(3)计数器设计前两次实验都是在学校实验室的实验箱上完成,做完这两次试验后,我自己购买了黑金的FPGA开发板,后面的实验都是在这块板子上完成。学校的实验箱中unuesd pins是接地的,我的板子unuesd pins则是接高电平。实验要求1.采用verilog HDL设计8421BCD码十进制计数器并生成元件符号2.采用verilog HDL设计七段译码器并生成元件符号3.采用verilog HDL设计分频器并生成元件符号4.建立顶层原理图文件思路与代码 1.分频器的设计板子上

2020-11-03 14:38:20 6157

原创 EDA实验(2)编码译码电路设计

EDA实验(2)编码译码电路设计实验要求1.采用Verilog设计BCD编码器并生成元件符号2.采用Verilog设计七段译码器并生成元件符号3.建立顶层原理图文件,组成编码译码器电路思路与代码1.BCD编码器的设计使用9位拨码开关实现:当每一个对应的拨码开关被打开,编码器输出其对应的BCD码。代码如下:module code(A,BCD); input[8:0] A; output[3:0] BCD; reg[3:0] BCD; always@(A) case(A) 9'b

2020-11-03 14:11:47 3092

原创 EDA实验(1)二位加法器设计

EDA实验(1)二位加法器设计这学期有一门EDA的实验课,正好准备学习FPGA,想分享一下这几次实验的思路和代码。实验要求1.采用verilog HDL设计全加器2.采用全加器设计两位加法器思路与代码首先用Verilog设计一个半加器,用半加器设计全加器,再用全加器设计两位加法器。1.1半加器的设计首先画出半加器的真值表,如下:abSOCO0000011010101101其中a,b为输入;SO,CO为输出1.2半加器

2020-11-02 01:12:20 10367

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除