- 博客(1)
- 收藏
- 关注
原创 CPLD/FPGA四位七段数码管动态扫描
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity test3 isport(clk:in std_logic; y:out std_logic_vector(6 downto 0);--段选 bt:out std_logic_vector(1 downto 0));--位选end test3;architectu
2020-05-13 08:48:45 656 2
空空如也
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人