set showcmd
set ruler
set number
set cursorline
set cursorcolumn
set hlsearch
set smartcase
set incsearch
set autoindent
set smartindent
set expandtab
set tabstop=4
set shiftwdth=4
set softtabstop=4
set smarttab
set nobackup
set noswapfile
set autowrite
set nocompatible
set nu
colorscheme desert
syntax on
filetype on
filetype plugin on
filetype indent on
autocmd BufEnter * silent! :lcd%:p:h
## match
let b:match_words = '\<if\>:\<endif\>:\<else\>,'
\ .'\<while\>:\<continue\>,'
\ .'\<begin\>:\<end\>,'
\ .'\<module\>:\<endmodule\>,'
\ .'\<class\>:\<endclass\>,'
\ .'\<program\>:\<endprogram\>,'
\ .'\<clocking\>:\<endclocking\>,'
\ .'\<property\>:\<endproperty\>,'
\ .'\<sequence\>:\<endsequence\>,'
\ .'\<package\>:\<endpackage\>,'
\ .'\<covergroup\>:\<endgroup\>,'
\ .'\<primitive\>:\<endprimitive\>,'
\ .'\<specify\>:\<endspecify\>,'
\ .'\<generate\>:\<endgenerate\>,'
\ .'\<interface\>:\<endinterface\>,'
\ .'\<function\>:\<endfunction\>,'
\ .'\<task\>:\<endtask\>,'
\ .'\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,'
\ .'\<fork\>:\<join\>\|\<join_any\>\|\<join_none\>,'
\ .'`ifdef\>:`else\>:`endif\>,'
\ .'\<generate\>:\<endgenerate\>'