自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 收藏
  • 关注

原创 ZYNQ microphase 7020开发板学习 (5.PLL_led)

这是基于微相的ZYNQ 7020开发板的学习资料,主要是使用由vivado软件提供的IP内核中的时钟向导(clocking wizard)使用的是MMCM(混合模式时钟管理)来产生3个不同频率的时钟,以此来学习配置一个IP核的过程。由IP模块产生3中不同时钟,然后由于频率不同,使其计数到相同数值50_000_000用来产生0.5s,1s,以及2秒的时钟用来控制LED灯。以下是自己写的关于这次的代码。使用生成语句generate来整合3个计数完全相同的代码,减少了代码量,但实现功能基本相同。

2024-07-13 20:36:27 310

原创 ZYNQ microphase 7020开发板学习 (4.PWM_led)

这次的实验主要是实现由microphase提供的脉宽调制时序图,提供时序图设计基于计数器的PWM调制LED显示还是比较简单的。每个always语句对应一个计数值。做设计搞好时序逻辑图还是前提,基于此写代码感觉目前蛮简单。以下是modelsim仿真图。以下是实现代码和modelsim仿真。

2024-07-10 21:16:06 604

原创 ZYNQ microphase 7020开发板学习 (3.按键检测与消抖)

就是计数是用寄存器来实现的,这样的设计在计数时长要求较短的应用中,确实有所简化。但是当要求的时长更长时,其优势并不明显。就比如计数100次,使用寄存器移位就用100个,使用2进制计数(只用7位就可)来判定会消耗更少的资源。对于按键消抖,在键盘上的体现更明显一些,机械触发需要保证按下1次按键不会打出两个字。(才发现昨天写的基本是类似开关消抖的双向消抖这样子)。1.按键消抖和开关消抖是有一些区别的,按键消抖只在按键有效电平做计数时长来考虑按键是否有效触发。而开关消抖是双向的,即电平切换就要考虑是否是有效的。

2024-07-09 13:56:02 309

原创 ZYNQ microphase 7020 开发板学习(2.键控灯)

想简单的实现键控灯只用将按键接入LED灯即可,所谓的按键抖动最终在LED亮灭上的体现在人眼上是分辨不出来的。机械按键本身在处理机械按键输入时。使用将按键信号移位保存在多位的寄存器中,当多位寄存器的数值一样时,将该信号给到按键标识位。而且按键抖动不止发生在按键触发,按键移除同样存在,于是想到和现状异或然后计时,不仅可以满足按键标志位和按键时长基本一致,而且可以满足按键移除和按键触发同时存在。在自己写代码的过程中,出现了LED特别淡的情况,发现写的按键模块输出FLAG信号标志有效只占按键时长的1/5。

2024-07-08 20:30:24 405 1

原创 ZYNQ microphase 7020 开发板学习(1.流水灯)

代码是基于微相zynq 7020开发板学习资料的代码做了自己的修改,感觉constraints文件来约束管脚有点不太习惯,使用综合后的Schematic来对照开发板引脚图,图形化约束管脚太方便了。记录下自己的学习历程吧。

2024-07-07 21:38:15 331

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除