- 博客(1)
- 资源 (1)
- 收藏
- 关注
原创 UVM入门与进阶
UVM入门与进阶(实验部分)phase机制config机制消息管理 phase机制 phase机制使得验证环境从组建、到连接、再到执行得以分阶段进行,按照层次结构和phase顺序严格执行,继而避免一些依赖关系,也使得UVM用户可以正确地将不同的代码放置到不同的phase块中。 代码: package phase_order_pkg; import uvm_pkg::*; `include "uvm_macros.svh" class comp2 extends uvm_component
2021-03-26 16:45:33
339
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人