计组实验:使用QuartusII的基本步骤

暂时还没记住步骤,老是要翻指南太麻烦了,写个BLOG过一下步骤方便之后忘了看吧(●’◡’●)

写代码编译:
先创建一个工程~注意每个工程要对应新建一个文件夹
然后New那里NEW个VHDL文件来写对应代码,Save到工程文件夹里,然后就可以开始编译了。
P.S.VHDL 文件名必须是实体名(即代码中的 Entity 名字)
在Assignments选择device选择芯片
在这里插入图片描述
在Assignments选择pins分配管脚

分配完之后还要再编译一遍。

仿真:
新建一个仿真波形文件Vector Waveform File
双击Name下的虚线框
按Node Finder按钮
Filter选Pins:all
按List按钮
按>>按钮
OK
拖动a行一段(蓝色),点击左边工具栏的0或1,随便设置a的值,用同样方法设置其他输入的值,给a,b,ci输入8种组合
保存成vwf文件(好像不能改成跟工程名相同的名字来着)
processing选择generate Functional Simulation Netlist产生网表
设置仿真 Settings选simulator
在这里插入图片描述
能运行了。在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值