数电和Verilog-时序逻辑实例三:计数器

本文介绍了如何使用Verilog设计时序逻辑计数器,包括设计模块、测试模块的构建,并利用SystemVerilog的随机语法进行测试。通过运行仿真脚本并观察波形,展示了计数器的功能。
摘要由CSDN通过智能技术生成

A.16 时序逻辑实例三:计数器

顾名思义,就是用来根据时钟边沿跳变来统计时钟周期数量的模块。

设计模块

//文件路径:a.16/src/counter.v
module counter(clk,rst_n,load_enable,load_counter,dout);
  input clk;
  input rst_n;
  input load_enable;
  input[7:0] load_counter;
  output[7:0] dout;

  reg[7:0] counter;

  always@(posedge clk)begin//当然也可以改为下降沿计数器,只需要把posedge换成negedge即可
    if(!rst_n)
      counter <= 'd0;
    else begin
      if(load_enable)
        counter <= load_counter;
      else
        counter = counter + 1;
    end
  end

  assign dout = counter;

endmodule

测试模块

//文件路径:a.16/sim/testbench/demo_tb.sv
module top;
  logic clk;
  logic rst_n;
  logic load_enable;
  logic[7:0] load_counter;
  logic[7:0] dout;
  
  counter DUT(.clk(clk),.rst_n(rst_n),.load_enable(load_enable),.load_co
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值