什么是脚本

本文科普了集成电路领域中常见的脚本语言,如shell、tcl、perl、makefile和python,强调了python作为万金油语言在自动化和解决复杂需求上的广泛应用。
摘要由CSDN通过智能技术生成

Chapter2【免费】基础概念

2.1 什么是脚本

首先来科普一下:
在这里插入图片描述

应用在集成电路领域,主要通过编写脚本文件来实现一些工作的自动化来提升效率和团队管理水平。

在集成电路领域比较常见的脚本语言包括有:

(1)shell

主要用于系统管理,包括文件目录等系统管理操作。

它能干的事情,python基本都能干,可以通过扩展模块来实现。

(2)tcl

工具控制语言,全称是Tool Control Language。顾名思义,和EDA flow工具结合的比较紧密,主要用于编写EDA工具相关的自动化执行脚本。

举个例子,后端人员,几乎成天要和EDA打交道,那么这个就必学,前端设计人员,基本都要做RTL综合,因此也应该要学习tcl来提升工作效率,但是如果做验证的话,使用就很少。

因此,如果不是经常和EDA自动化flow打交道的话,暂时用不到。

(3)perl

实际的芯片项目中,我们主要用来做文本处理,比如文本的自动化。

它能干的事情,python基本都能干,可以通

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值