FPGA按键消抖Verilog

(一)按键按下时,会产生一定抖动才会达到稳定状态,就像我们开电灯时,电流不稳定一闪一闪的才会亮起来。按键消抖的意义也类似这么个道理,相当于滤波器,过滤掉毛刺,让那些width不到10ns被滤掉只留下超过10ns的,我们的按键能够产生一个稳定的输入。

(二)我想要实现的是按下按键产生时钟短的毛刺被消除时钟长的(when palse width>10 clock cycle)留下。那么这里就要使用到一个状态机(state machine)。

(三)所以我们的输入只需要有时钟(clk),复位(reset_n,状态机一定要有复位归零机制不然会荡机)和key按键。

(四)下面是一个debounce的代码里面包含了状态机,和做了两个时钟的dly来保持同步。有不懂的地方可以在留言下边问我,欢迎大家的提问,因为我也是刚学,大家一起进步吧!

`timescale 1ns / 100ps
`define dly 1

//De-Bounce Trigger Pulse
module debounce_p(clk,
                  reset_n,
                  in_signal,
                  out_pulse);

  input clk;
  input reset_n;
  input in_signal;
  output out_pulse;

  //>>>>>>>>>>>>>>>><<<<<<<<<<<<<<<<<<<
  //Define Parameter
  //>>>>>>>>>>>>>>>><<<<<<<<<<<<<<<<<<<
  
  parameter MAX_CHK_CYCLE = 10;

  parameter ST_IDLE = 0;
  parameter ST_LOOP = 1;
  parameter ST_TRIGGER = 2;
  parameter ST_HOLD = 3;

  //>>>>>>>>>>>>>>><<<<<<<<<<<<<<<<<<<<
  //Define Variable
  //>>>>>>>>>>>>>>><<<<<<<<<<&
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,下面是基于Verilog HDL实现对三个按键设计的代码: ``` module debounce( input clk, input btn1, input btn2, input btn3, output reg debounced_btn1, output reg debounced_btn2, output reg debounced_btn3 ); reg [2:0] count1, count2, count3; reg [2:0] state1, state2, state3; always @(posedge clk) begin // Button 1 debounce state machine case (state1) 3'b000: begin if (btn1 == 1) begin count1 <= 3'b000; state1 <= 3'b001; end else begin count1 <= 3'b000; state1 <= 3'b000; end end 3'b001: begin if (btn1 == 1) begin count1 <= count1 + 1; if (count1 == 3'b111) begin debounced_btn1 <= 1; state1 <= 3'b010; end end else begin count1 <= 3'b000; state1 <= 3'b000; end end 3'b010: begin if (btn1 == 0) begin state1 <= 3'b011; end end 3'b011: begin if (btn1 == 0) begin state1 <= 3'b000; end else begin state1 <= 3'b010; end end endcase // Button 2 debounce state machine case (state2) 3'b000: begin if (btn2 == 1) begin count2 <= 3'b000; state2 <= 3'b001; end else begin count2 <= 3'b000; state2 <= 3'b000; end end 3'b001: begin if (btn2 == 1) begin count2 <= count2 + 1; if (count2 == 3'b111) begin debounced_btn2 <= 1; state2 <= 3'b010; end end else begin count2 <= 3'b000; state2 <= 3'b000; end end 3'b010: begin if (btn2 == 0) begin state2 <= 3'b011; end end 3'b011: begin if (btn2 == 0) begin state2 <= 3'b000; end else begin state2 <= 3'b010; end end endcase // Button 3 debounce state machine case (state3) 3'b000: begin if (btn3 == 1) begin count3 <= 3'b000; state3 <= 3'b001; end else begin count3 <= 3'b000; state3 <= 3'b000; end end 3'b001: begin if (btn3 == 1) begin count3 <= count3 + 1; if (count3 == 3'b111) begin debounced_btn3 <= 1; state3 <= 3'b010; end end else begin count3 <= 3'b000; state3 <= 3'b000; end end 3'b010: begin if (btn3 == 0) begin state3 <= 3'b011; end end 3'b011: begin if (btn3 == 0) begin state3 <= 3'b000; end else begin state3 <= 3'b010; end end endcase end endmodule ``` 这个代码实现了三个按键,每个按键都有一个状态机来处理。在每个时钟上升沿时,对应的状态机会根据当前状态和按键输入状态进行状态转移,最终输出去后的按键状态。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值