自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 收藏
  • 关注

原创 Bug记录(HLS)---recipe for target ‘csim.exe‘ failed

解决:原因是OPENCV的库函数后面有一串数字(与版本有关,我的是3411)。而官方例子提供的LinkFLAGS是没有这串数字的,因此要在LINKFLAGS具体的函数名后面加上(3411)。

2022-06-15 21:19:08 578 1

原创 verilog写txt

BUG:用$fwrite写txt,txt内无数据解决:①写完用$fclose()关闭该文件,则仿真完成就有数据 ②不用$fclose()关闭文件,仿真完关闭仿真背景任务,txt就会出现数据

2022-05-20 10:13:18 326 1

原创 fopen,fscanf,fclose,feof,ftell

一,fopen用途:打开已有文件和新建文件①integer mcd 或 reg [31:0] mcd;multi_channel_descriptormcd = $fopen ( " file_name " ) ;//该文件应在xxx.sim的xsim文件夹内,或者用绝对路径(换成“/")②integer fd 或 reg [31:0] fd;//file descriptorfd = $fopen ( " file_name " , type ) ;//该文件应在xxx.sim

2022-05-20 09:58:16 143

原创 [A+:B]或[A-:B]

一般形式是data[A+:B]或data[A-:B]此时,A是base,B是width。表示的地址范围:[A+:B]--->A ~ A+B;[A-:B]--->A-B ~ A;

2022-04-01 20:31:58 643

原创 vivado $readmemb的使用

$readmemb("E:/MyProject/xxx/init.txt", mem);//从文件管理里直接拷贝的路径用的是“\”,必须全部替换成“/”。(这个并不奇怪,在visual studio也是这样)

2022-03-05 17:26:20 683

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除