2023.11.09-10笔记

1、uvm_analysis_port和analysis_export:

一个analysis_port可以和多个IMP连接,但IMP类型必须是uvm_analysis_imp,否则会报错。

对analysis_port和analysis_export来说,只有一种操作:write,在analysis_imp所在component,必须定义一个名字为write的函数。

2、uvm_analysis_imp_decl(_monitor):

uvm_analysis_imp_monitor;  (白皮书P123)

write_monitor;

3、uvm_analysis_fifo,使用FIFO通信(白P125)

在agent和scoreboard之间添加一个uvm_tlm_analysis_fifo。FIFO的本质是一块缓存加上两个IMP。

在monitor与FIFO的连接关系中,monitor依然是analysis_port,FIFO中是uvm_analysis_imp,数据流和控制流方向相同。

在scoreboard与FIFO的连接关系中,scoreboard中使用blocking_get_port端口;

而FIFO中使用的是一个get端口的IMP。在这种连接关系中,控制流是从scoreboard到FIFO,而数据流是从FIFO到scoreboard。

4、端口都需要用new实例化,指明(端口名字,uvm_component类型的父节点变量)
5、$sformat和$sformatf(少个f的反而多个变量,多个f的少个变量,均衡)

$sformat:比$sformatf多一个参数,该参数用于存放最终整理好的字符串。

string jerry_string;
string jerry_string_r;
$sformat(jerry_string,"jerry_face_score=%0d",100);

$sformatf()返回的值就是整理好的字符串,可以直接把它整体拿去使用;

jerry_string_r=$sformatf("jerry_cool_score=%0d",100);
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值