自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(4)
  • 收藏
  • 关注

原创 【Verilog】:defparam定义参数声明语句

编译时可重新定义参数值。如果是分层次命名的参数,可以在该设计层次内或外的任何地方重新定义参数。

2024-04-27 09:47:14 214 1

原创 【Vivado】综合报错:[Synth 8-685] variable ‘xxx‘ should not be used in output port connection

出问题的信号【led】为输出信号,在子模块中定义为reg型,在顶层模块中也定义成了reg型。在顶层模块中将出问题的信号修改为wire类型。

2024-04-27 09:23:14 1073 4

原创 使用vs code编写Verilog:相关配置问题总汇

将verilog的Linter更换成xvlog后,编写testbench时可能会出现错误(检查代码没有任何语法错误),但是将xvlog改成iverilog后,就没有错误了,而且可以进行正常的综合和仿真。具体步骤:就是将Vivado的bin文件夹放置在系统环境变量中。verilog的Linter更换成xvlog后,编写testbench时可能会出现错误。【注意:ctags的下载应选择最新版,解压后的文件中应包含ctags.exe】先将第三方编译器vs code配置到vivado,具体参考。

2024-01-30 16:54:10 861 1

原创 vivado使用第三方编译器:vscode

软件版本:Xilinx vivado 2022.2。

2024-01-24 10:30:26 1009 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除