使用Quartus II 8.0做格雷码仿真实验

目录

前言

操作流程

          一、创建项目工程

二、编辑芯片管脚

 三、配置仿真文件


前言

在eda课程中用实验课,需要做格雷码仿真实验,所以做个流程,以及避免一些错误

友情提示:如果使用破解器后,发现代码运行失败,出现下图错误,看自己的电脑系统,如果是win11系统的话,建议删除破解器(license.dat文件),直接使用30天免费使用权限

操作流程

      一、创建项目工程

          (1)打开quartus软件,推荐32位,如果64位也可以过程一致,由于我的系统和该软件不一致,所以使用30天,创建一个新项目

         

          (2)1.文件存放目录  (事先在桌面创建一个文件夹Greay,放入指定文件夹下)

                   2.文件名,exp2(需要和代码中的名称一致,不然会报错

                   3.下一步

 

       (3)导入代码文件,如果事先有,就直接导入,没有也可以在创建完成之后新建一个VHDL  file,将代码写入,这里我就直接导入,没导入,就直接下一步,后面我会给出新建方式

                导入后,一定要add进去,然后next下一步 

       

      (4)选择芯片类型,EP2C5Q208c8,按照红线部分选择即可,然后next;一直next到结束

       (5)运行代码程序

    运行成功

 

      (6)第三步没有导入的可以新建一个file>>new>>vhdl file,点击ok即可,将代码加入

     代码

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------------------------
entity exp2 is
  port( K1,K2,K3,K4,K5,K6,K7,K8    :  in   std_logic;   --输入:8位格雷码
        D1,D2,D3,D4,D5,D6,D7,D8    :  out  std_logic    --转换结果
       );      
end exp2;
--------------------------------------------------------------------
architecture behave of exp2 is
  begin
    process(K1,K2,K3,K4,K5,K6,K7,K8)      --格雷码转换
      begin
        D1<=K1;
        D2<=K1 xor K2;   
        D3<=K2 xor K3;
        D4<=K3 xor K4;   
        D5<=K4 xor K5;
        D6<=K5 xor K6;   
        D7<=K6 xor K7;
        D8<=K7 xor K8;
    end process;
    
end behave;

二、编辑芯片管脚

        1.在上面工具栏中,选Assignment中的pins打开;

        2.编辑管脚,点击下面的location,分别为输入输出端管脚设置配置,只设置location,完成之后关闭即可,自动保存,推荐使用第三步中的导入功能,前提你已经做好了管脚文件

   ​​​​​​​​​​​​​​     

      3.使用导入管脚配置信息,作用和上一步一样,如果已经自己一个一个选择过就忽略这一步

      

.qsf文件,即为管脚配置信息

 

 4.管脚配置成功页面,这样即可

 

 三、配置仿真文件

        (1)新建一个VWF仿真文件,file>>new>>Vector Wavefrom File 文件,ok 即可

       (2)导入芯片管脚信息,按照下面图片操作

 

 

在点一个OK即可,无需更改其他

成功截图

 出现上面这个,仿真文件就ok了;

        (3)画输入波形图

           先选中输入端升降位置,再选择升降,多画些

        (4)点击运行,发现出错,因为没有保存和没有在仿真工具中使用

 

 

       (3)保存仿真文件,不然运行会报错,推荐保存在Grary文件夹下

       (4).在仿真工具中选择保存位置中的vmf文件,这样就会在运行中使用它

      processing>>simulator tool

 下面无需保存,配置好就关闭就行

   (5)再次点击运行成功,仿真成功

 

所有操作过程结束!!!成功

 关注点赞不迷路!!!

关注点赞不迷路!!!

关注点赞不迷路!!!

  • 9
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 10
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 10
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

桑稚远方~

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值