自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(14)
  • 收藏
  • 关注

原创 一般操作汇总,转

vim删除命令操作大总结。x 删除当前光标下的字符。dw 删除光标之后的单词剩余部分。d$ 删除光标之后的该行剩余部分。dd 删除当前行。c 功能和d相同,区别在于完成删除操作后进入INSERT MODEcc 也是删除当前行,然后进入INSERT MODE删除每行第一个字符 :%s/^.//g...

2022-03-18 09:43:14 332

原创 遇到的问题记录

双驱问题,,localbus 输出有两个,导致双驱引起x态阻塞赋值和非阻塞赋值问题,软硬复位同时使用非阻塞赋值,后一个不生效

2022-03-11 16:23:52 90

原创 uvm两种启动方式

今uvm的两种启动方式 在run-test函数里面调用用例名字,命令行用UVM_TEST今天遇到的问题 开发修改代码时,扩展位宽,把默认值设置错了

2022-02-28 19:08:49 638

原创 【无标题】apb接口读写的时候复位导致超时

今天遇到的一个问题。是说找那个写寄存器的时候,写计存器的时候没有就是一直没有得到响应,他的表现就是说一直在timeout的状态。然后检查的时候看那个写的地址之后,它就是一直没有等到,等到一直没有等到ack之后呢,所以就表现出超时了,然后我当时看了半天,看了半天之后就是也没有看出所以然来。然后顶多是看了一下其他地方的波形呢,就是说在写apb的时候传入那个地址的时候,正好还没有等到ack的时候做了一个复位。所以就导致超时。...

2022-02-26 09:27:51 263

原创 波形显示10进制

波形显示10进制按住alt,再按W R D二进制按住alt,再按W R B

2022-02-25 09:31:36 1945 1

原创 【关于uvm打印的注意事项

set report verbosity level 和set report verbosity action使用的时候,都需要指定到具体的实例。否则纪不会报错也不会生效,坑要从上层递归到下层的话,应该要用那个递归函数。我还没试过。

2022-02-23 15:07:01 164

原创 typedef struct packet 和coverpoint冲突

如果想要使用covergroup和coverpoint,coverpoint里面的参数不能是strcut packted对象的成员,会报错。想要不报错,把packeted去掉。

2022-02-21 09:23:27 157

原创 容易犯的错误:循环里面不等待clk,仿真的时候就死循环

必死无疑。容易犯的错误:循环里面不等待clk,仿真的时候就死循环

2022-02-18 11:50:09 298

原创 一个名字引起的错误

如果run_test的时候,uvm_test的名字和仿真里面指定的+UVM_TESTNAME名字不匹配,就会导致仿真一直停留在开始的那刻,一动不动。只能手动q结束

2022-02-17 17:05:17 112

原创 一句话替换文件中的内容

find ./ -name ”*.sv” | xargs perl -pi -e ”s/aaa/bbb/gi”网上找的,好用

2022-02-16 10:59:59 128

原创 veridi里面标颜色

波形里面的信号 nwave窗口 选中信号,按t代码标颜色 右键 highlight

2022-02-15 09:39:31 471

原创 【无标题】

断言验证状态机从assert 应用指南 这本书里面提到的方法,检查每个状态不可能转换到的状态分别写property。对于onehot有限状态机,检查任意时刻都只有一位为1

2022-02-14 20:31:19 175

原创 关注状态机的验证 转别人的

如果这个状态机的代码是全新开发的,第一步我觉得应该是对照spec文档和RTL代码,检查设计写的状态机与他的设计文档是否做到了一致激励:这个应该没什么疑问,肯定是随机激励+定向测试,定向测试主要是覆盖异常情况,比如动态复位导致状态机异常跳转检查:(1)用断言给状态机建模,或者简单点写一个monitor实时监控状态机的跳转 (2)仿真结束之前检查状态机是否回到IDLE状态覆盖率:代码覆盖率,必要时可以针对状态机里面的状态转移条件开发一部分功能覆盖率其他:时间比较充裕的情况下...

2022-02-11 10:42:09 755

原创 一些记录关于仿真和uvm

怎么在verdi波形里面显示状态机名字 在veridi得rtl窗口,选tools_extract interactive FSM就可以了怎么分析提高仿真时间在仿真指令时加入-simprofile time+mem

2022-02-10 09:36:29 192

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除