自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1)
  • 收藏
  • 关注

原创 Vivado和modelsim的联合仿真

使用的vivado版本为2018.3modelsim的版本为10.6d-SE,亲测有效,目前在使用。Tools——Compile Simulation Libraries本人的设置如下;Compiled library location目录是vivado的安装目录下创建一个名为lib的文件夹Simulator executable path是modelsim的安装目录到win64文件夹即可其他设置按如图所示即可,点击Compile。接下来就是漫长的等待过程,大概要花费四十

2022-04-26 22:29:40 1103

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除