APB_SPI设计与UVM验证(5)

一、scoreboard:

在scoreboard中有创建analysis_fifo_port,do_compare_data;

拿到寄存器模型中mirror值,拼起来成为reg_send_data,以及spi_item中的值后,从寄存器中进行前门访问得到实际硬件值赋给reg_rec_data;

比较mosi和miso的值分别与reg_send_data、和reg_rec_data。

二、env:

apb_spi_env中包含apb_agent、spi_agent、scoreboard、coverage、rgm、virtual_sequencer等等。

下面先介绍coverage:

1、coverage:

第一个covergroup:寄存器读写的收集:addrXcmd;

第二个covergroup:寄存器写操作时需要等待(pready信号),preadyXwrite;

第三个covergroup:控制寄存器、分频器和从机选择的值。

例化各个covergroup,并在penable来临时对第一个和第二个covergroup采样:传输时;

在penable下降时,对寄存器配置采用。

2、virtual_senquencer:

3、apb_spi_env:

例化agt、scoreboad、coverage、virtual_sequencer、rgm、adapter;

连接时需要将agt和scoreboard连接。

将coverage和virtual中的rgm与env中的连接。

需要将virtual_sequencer中的sequencer与各个agent中的sequencer连接。

需要将rgm中的adapter通过map和sequencer连接。

rgm.map.set_sequencer(apb_agt.apb_sqr,adapter)。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值