自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(33)
  • 问答 (1)
  • 收藏
  • 关注

原创 windows使用nvm管理node

windows使用nvm管理node 踩坑

2022-06-05 15:03:03 473

原创 eclipse:springMvc + tomcat 各种报错以及解决方法

1.controller报引入包的错解决1.项目右键2.导 包导入tomcat的这两包2.配置tomcat3.启动tomcat访问路径报错找不到自己写的controller类解决1.看下tomcat的webapp中项目有没有生成class2.配置下building再次访问就好了来自eclipse环境下部署到Tomcat中的项目目录下缺失class文件或者不更新...

2021-12-16 19:55:21 827

原创 jquery项目引入vue和elementui遇到的坑

切记引入elementui的前提是引入vue常见出错的情况:一个简单的html页面或者一个非vue的项目,想要引入elementui的组件,然后引入elementui之后发现样式不起作用,很大一个原因就是没有引入vue为方便此处CDN引入 <!--引入 element-ui 的样式,--> <link rel="stylesheet" href="https://unpkg.com/element-ui/lib/theme-chalk/index.css"> .

2021-12-07 22:17:15 2727

原创 前端使用git管理项目也是真香!!

使用git前须知:1.为什么使用git?多人开发时候git的好处就很明显了 方便代码合并自己不小心误删代码误删文件还好可以在回收站找回,但是覆盖的话就不容易找回了git 有版本回退功能 具体使用自己查还有一个方法是idea本身有版本回退的功能选中误删代码的文件右键前期准备工作:1.本地下载git并进行系统配置,生成sshkey2.远程找个托管平台注册账号,配置秘钥第一种:远程有项目,本地没有代码的情况1.克隆远程仓库 git clone 远程仓库地址2.进入克隆下来

2021-05-31 17:40:18 534

原创 《计算机体系结构》 引言总结

引言计算机体系结构描述计算机各组成部分及其相互关系的一组规则和方法计算机体系结构的研究内容纵向以指令系统结构和CPU的微结构为核心,向下到晶体管级的电路结构,向上到应用程序编程接口横向以个人计算机和服务器的体系结构为核心,低端到手持移动终端和微控制器的体系结构,高端到高性能计算机一以贯之ppt翻页过程通用计算机系统的结构层次什么是计算机计算机的基本组成冯诺依曼结构基本思想:数据和程序都在存储器中,cpu从内存中取指令和数据进行运算并把结果也放到内存中。把指令

2021-04-01 12:35:39 201

原创 《汇编语言》-王爽 第一章笔记

基础知识1.1 机器语言机器语言是机器指令的集合机器指令是一台机器可以正确执行的命令电子计算机的机器指令是一列二进制数字,计算机将之转换为一列高低电平,以使计算机的电子器件受到驱动,进行运算特点:所有都用机器语言写很繁杂,全是0101,代码量大而且容易出错1.2 汇编语言的产生举例就懂了操作:寄存器BX的内容送到AX中机器指令:1000100111011000汇编指令:mov ax, bx讲机器指令语义化了,更适合编程人员编程和阅读计算机只能读懂机器指令,所以汇编指令写完

2021-03-31 20:21:54 177

原创 《深入浅出玩转FPGA》笔记1-6 知识梳理

初识FPGA基本概念field programmable gate array现场可编程逻辑阵列FPGA/ASIC/ASSPFPGA可编程ASIC专用标准芯片ASSP专用标准产品FPGA/ARM/DSP都是可编程的三者的区别https://blog.csdn.net/woshiyuzhoushizhe/article/details/102815561FPGA一个里面没有任何现成的硬件,需要自己设计ARM公司,微处理器

2021-03-26 09:49:00 443

原创 verilog常用语法篇

verilog的语法也不少,但是常用的语法并不多。没有必要在最开始就把所有的语法都学会,似乎也未必能一下都学会....目前掌握一些常用语法就可以看懂一般的设计文件,也可以自己编写简单的设计文件。如果学习过程中发现了陌生的语法,再去专门学习这块内容。当然如果已经把所有的语法都过了一遍的话,之后有忘记的内容方便直接在自己的笔记中查询。本篇博客不会具体讲解某个知识点,只是将一些常用的语法进行一下梳理。如某块内容介绍的不够详细,自行翻阅笔记或者网上的详细教程。1.逻辑值0:逻辑低电平,条件为假1:逻辑低

2021-03-25 12:33:21 1966

原创 webpack 重点是webPack下的vue.js项目文件结构

以下内容学自《vue.js快速入门》(申思维),十分推荐新手看这本书上手vue。其中实战部分尤为精彩!webpack开发初衷SPA单页应用的发展,随着项目不断复杂,包括的js css png等文件越来越多,导致文件夹结构混乱,难以管理。为了解决这个问题,出现了webpack【一个打包工具,可以将js css 图片等等打包在一起】功能对文件的支持支持普通文件支持代码文件支持文件转url(图片)对json的支持JSON一种轻量级数据交换格式常用的数据交换格式:XMLJSONYA

2021-03-16 22:02:36 147

原创 SPA三大框架

前端框架Angular简介AngularJS是一个开发动态Web应用的框架。它让你可以使用HTML作为模板语言并且可以通过扩展的HTML语法来使应用组件更加清晰和简洁。它的创新之处在于,通过数据绑定和依赖注入减少了大量代码,而这些都在浏览器端通过JavaScript实现,能够和任何服务器端技术完美结合。google推出优点业内第一个SPA框架实现了前端的MVC解耦双向绑定。model层的数据发生变化会直接影响view模块化功能强大自定义directive非常灵活双向数据绑

2021-03-16 09:35:48 3205 1

原创 picgo+gitee搭图床 按照网上配置好了但是一直上传不了是为什么 那是你的repo配错了

本篇重点repo该配url(当然是去掉gitee的域名)不敢说网上所有的方法是错的,也有博客提示填用户名/仓库名和url都行,但是本人亲自配,按照网上配置repo时候填写用户名/仓库名一直配不好。可能是其他博主没考虑用户名/仓库名和url不一样的情况不知道其他人是不是都一样,但我也知道几个不一样的情况,都是填了url才能配置好举我的仓库的例子https://gitee.com/wenxiaohei/typora-gallery我的repo配置为:wenxiaohei/typora-gallery

2021-02-18 11:02:07 873 1

原创 《计算机是如何跑起来的》——前言+第一章 计算机的三大原则

前言“如果仅仅把技术当作一个黑盒,只把时间花在学习其表面上,而并没有探索到其本质,就绝不应该认为自己已经“懂”了。”第一章 计算机的三大原则问答区1.不同编码 汉字占的字节GBK【(Chinese Internal Code Specification)是汉字编码标准之一,全称《汉字内码扩展规范》】字符编码下,一个汉字占用两个字节.UTF-8字符编码下,一个汉字占用三个字节.2.什么是编码?通常讲为了便于计算机处理而经过数字化处理的信息称作编码.内容三大原则计算机是执行输入,运算,输

2021-01-14 11:33:32 160 1

原创 python基础知识——小白学习python笔记

学自廖雪峰老师的教程https://www.liaoxuefeng.com/wiki/1016959663602400廖老师本就写的通俗易懂,推荐时间充足的话直接看廖老师的教程本文是笔者在学习过程中学习笔记,加了部分自己的理解,更加简单易懂一些,也有部分是直接复制了廖老师的内容​文章目录python面向对象,解释型语言初学pythonpython 基础数据类型可变 不可变整数浮点数编码问题python中的字符串listtupledictset变量常量条件判断输入循环continue函数调用函数

2020-12-16 21:52:49 423 1

原创 linux不被熟知的事情

linux不被熟知的事情1.linux不全是c语言写的linux的内核/shell/基础命令程序都是C语言编写的,但是linux启动以及安装软件的工具(yum apt-get configure makefile )都是脚本语言编写的脚本语言编写的好处脚本对人直观、容易修改Linux支持很多种脚本语言(awk、 sed 、Perl 、TCL、Python、Ruby)linux的shell是一个强大的脚本解释器通常会多脚本语言混合编程(不同语言应用场景不同)不用C语

2020-12-16 18:43:34 149

原创 驱动到底是什么?为什么要装驱动?

前几天,重装了一波声卡驱动笔记本电脑插过一次typeC的耳机之后在插3.5的圆孔耳机不能用?今天来谈谈驱动到底是啥?为啥要装驱动?驱动程序百度介绍:驱动程序一般指的是设备驱动程序(Device Driver),是一种可以使计算机和设备进行相互通信的特殊程序。相当于硬件的接口,操作系统只有通过这个接口,才能控制硬件设备的工作,假如某设备的驱动程序未能正确安装,便不能正常工作。因此,驱动程序被比作“ 硬件的灵魂”、“硬件的主宰”、“硬件和系统之间的桥梁”等。简单来说驱动程序就是驱动硬件动起来的程序。

2020-09-02 10:51:14 10336

原创 CPU设计——第三章 数字逻辑电路设计基本技能

1 数字逻辑电路设计与 Verilog 代码开发如果想用 Verilog 语言写出一个真正可以物理实现的电路,必须先进行电路设计再进行代码编写。1.1 面向硬件电路的设计思维方式核心:数据通路(Datapath)+控制逻辑(Control Logic)数据通路:数字系统中,各个子系统通过数据总线连接形成的数据传送路径称为数据通路。电路中各器件之间传递的是:电磁信号电路结构图:用来刻画电路中的数据通路## 1.2 行为描述的 Verilog 编程风格通常来说,使用 Verilog描述电

2020-09-01 21:51:31 1950

原创 为什么买回来的U盘、硬盘等存储设备实际大小小于标注的大小?

分为软件和硬件两个层次软件层面操作系统内部和生产存储设备的厂商对于容量的计算方式不同操作系统存储厂商以二进制形式进行计算以十进制形式进行计算                1TiB=1000GiB 1GiB=1000MiB         &

2020-09-01 20:30:48 1041

原创 开发项目的完整流程

2020-08-30 17:45:33 236

原创 笔记本电脑插过一次typeC的耳机之后在插3.5的圆孔耳机不能用?

上来夸一波dell的售后 很赞描述以一下场景:昨天没拿耳机,用了下同学华为typeC的耳机,然后我下午在插我的3.5耳机就不能用了,奇怪的是插typeC耳机可以用于是于是开启了将近一天的修整:我先上网搜了下这种情况waves然后了解到有这么个软件 dell自带 不清楚别的机子有没有这个可以看到插入耳机是否识别到(如果你把插入耳机的提示关了的话)插了耳机的话,最左边的图形会变查看驱动的版本右下角右键喇叭打开声音设置 往下滑 打开声音控制面板点击扬声器 /听筒点击属性---

2020-08-30 14:40:51 10713 5

原创 CPU设计——第二章 硬件实验平台及 FPGA 设计流程

FPGA设计流程FPGA(Field Programmable Gate Array,现场可编程门阵列)特殊的集成电路可编程集成电路绝大多数都是集体管集成,比如CMOS晶体管集成电路。晶体管集成电路:通俗一点来说,就是用金属导线把许许多多由晶体管构成的逻辑门、存储单元连接成一个电路,具备 一定的逻辑功能。不过真正设计数字电路时,不需要真的用导线连晶体管,用硬件描述语言(比如 VerilogHDL)写代码运行综合软件(比如Vivado )就可以生成电路图。FPGA 的一般设计流程有“五步骤”

2020-08-29 16:59:21 419

原创 CPU设计——第一章 CPU芯片研发过程概述

第一章 CPU芯片研发过程概述1.区分处理器和处理器核处理器核包括运算器和控制器处理器不等于处理器核现在的处理器核只是处理器的一部分这就是所谓四核处理器,就是在一个处理器上拥有四个处理器核。核多有什么用?当然是快了,你有四个核同时工作比单核快多了so,make的时候不要忘了加上j4但是这可不是正比例关系,八核可不比四核再快两倍八核分两种:1.“双四核” 4+4的道理单纯是数目达到了8 性能可没有真八核好 虽然存在八个核心,但是无法同时工作,其中四个核心往往被应用在基

2020-08-29 11:25:43 1319

原创 推荐一篇好博文 vue的富文本编辑器 包括如何在nuxt用

http://www.fly63.com/nav/2051

2020-08-12 10:06:42 214

原创 setTimeout 中this的指向问题

之前没注意的小问题转载一篇文章 https://www.cnblogs.com/zsqos/p/6188835.html

2020-07-26 15:25:40 177

原创 深度选择器 vue-loader相关

最近做想项目遇到这么个情况:父组件想改子组件的样式,且子组件是在父组件中遍历,再并且想改的样式并不是子组件最外层的样式,而是其内部的样式。情况略微复杂,简单说就是父组件想改遍历的子组件的内部的样式。看例子吧例子父组件子组件解释一下:想在index.vue中改moreContent.vue中class="dashed"的样式解决在index.vue的style中写.more ul>li:last-child /deep/.more_content > .dashed{ b

2020-07-24 15:38:51 100

原创 vue ui有多香~~

可视化管理项目有多香参考网址一参考网址二

2020-07-21 14:26:34 76

原创 0.0.0.0端口

明明设置的是0.0.0.0 为什么监听的不是0.0.0.0?网络中0.0.0.0的IP地址表示整个网络,即网络中的所有主机。具体自己去百度吧0.0.0.0是个神奇的ip

2020-07-18 17:10:41 883

原创 在中标麒麟下编译安装nodejs

知识的搬运工 安装过程中关键作用的网站1.安装yum点击这里按照这个就可以2.安装Python2.7点这里3.安装node8node8是目前在中标麒麟上可以稳定运行的版本大致思路点这里看mipse64el架构编译自己到官网找node8关键是修改configure文件 行数未必就是626 721大致是那个位置 ,以内容为主...

2020-07-13 16:10:27 1498

原创 Linux相关(二)——网络、用户与组、操作技巧

一.网络涉及到的相关概念IP地址网关子网掩码局域网mac地址DNS (没有DNS也可以上网)DNS污染查看IPwindows ipconfiglinux ip addr获取ip地址开机不会自动获取ip地址使用dhclient请求IP地址 ,每次开机需要重新请求长久之计是vi /etc/sysconfig/network-scripts/ifcfg-enp0s3onboot改为yesNAT保险,桥接效率高改网络**重启网卡 **关闭ifdown enp0s3启

2020-07-12 23:06:17 111

原创 linux相关(一) ——安装、基本常识、存储

1.安装VirtualBox简介最大的优点是开源,不涉及版权最小化安装新建起个名字 指定位置 选择Linux redhat64内存先设置为1G虚拟硬盘VDI VHD VMDK区别:如图所说动态分配是随着使用逐渐占据硬盘,用多少占多少;固定大小是直接按照分配的大小占据相应的空间。一般都是使用动态分配既然是动态分配不会占据实际空间,索性硬盘改大一些。点击创建 虚拟机创建完成插入光盘右键设置==》存储==》没有盘片==》选择或创建一个虚拟光盘文件

2020-07-11 20:52:25 149

原创 centos下源码编译mysql

1.找到mysql8.0.20的源码包一定是源码包!!!!!一不小心就下成二进制包用xftp传到centos下 在/usr/local 下新建一个mysql文件夹 将压缩包传到这里2.添加用户useradd -s /sbin/nologin mysql3.建立所需目录并更改所有者为mysqlmkdir -p /data/mysql/data chown -R mysql:mysql /data/mysql4.解压cd /usr/local/mysqltar -zxvf mysql-boo

2020-07-05 14:33:24 247

原创 centos下源码编译nodejs openssl 亲历有效 成功了但是有些问题没有搞懂 欢迎赐教

编译安装node.js12.18.11.安装 gcc: yum -y install gcc gcc-c++ kernel-devel报错解决方法:2.下载源码:wget http://nodejs.org/dist/v12.18.1.tar.gz3.解压: tar -xzf node-v12.18.1.tar.gz4.进入: cd node-12.18.15.生成 Makefile:./configure6.编译: make报错问题一:没有查到报错原因 至今不清楚是不是gcc版本

2020-07-02 21:38:11 343

原创 虚拟机 centos 编译安装Nginx1.19.0 以及nginx的常见操作

编译安装Nginx1.19.01.下载进入/usr/local: cd /usr/local下载压缩包 : wget http://nginx.org/download/nginx-1.19.0.tar.gz2.解压缩tar -zxvf nginx-1.19.0.tar.gz3.进入文件夹配置文件cd nginx-1.19.0./configure --prefix=/usr/local/nginx这一步的作用是把nginx安装在 /usr/local/nginx目录下4.编译mak

2020-07-02 21:16:13 1567 2

原创 前端整合以及完善过程中遇到的小问题

前端整合1.路由把所有路由整合在一起,观察是否有重名问题,是否两人都写了path: "/",这是打开网址出现的第一个页面。如果有,根据需求,修改其中一个的路径。2.重名问题重名问题经常出现,自己一个写也容易起重名,多个人写难免有这种问题。...

2020-05-15 17:45:36 294

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除