wpgddt的博客

大联大工程师技术支持社区——大大通

  • 博客(255)
  • 收藏
  • 关注

原创 WIFI | 硬件 基于VC5771在Broadcom的平台应用搭建AP测试1

​VC5771是一款5G高功率线性WIFI7的FEM,可以搭载不同的主控平台,例如高通,博通,MTK,瑞昱等。本期以VC5771-21在博通平台为例,简单介绍如何利用IQ设备快速搭建测试环境,进行性能测试。

2024-07-22 11:37:14 703

原创 Hands-On 基于 Flagchip FC7300 MCAL-PWM 驱动 RGB

详细介绍如何使用 EB 工具配置 Flagchip FC7300 MCAL PWM 驱动 RGB,并重点强调了配置 pwm 模块的过程以及对pwm 模块的详细解释。本次示例演示将会使用 FTU1_CH1、FTU1_CH3、 FTU1_CH5 通道来驱动 RBG 灯点亮。

2024-07-22 11:13:24 803

原创 【ATU Book - i.MX8系列 - OS】NXP i.MX Linux Desktop (Ubuntu) BSP 开发环境架设

论嵌入式系统的开发环境,不得不提起近年来相当实用的 Yocto 建构工具。此工具拥有极为灵活的平台扩展性,广泛的软体套件与社群支持、多平台支援整合性,能够满足开发者特定需求和多种热门的嵌入式系统架设,已成为当今顶尖的架构工具。然而,此篇文章并非重点谈论 Yocto 建构概念与相关议题,而是要带领读者快速应用 Yocto 的 Bitbake 开源工具来快速布署恩智浦 NXP i.MX8 系列的开发环境,透过此博文即可轻松实现任何有关 i.MX8 的环境架设 !!

2024-06-19 11:53:16 973

转载 【ATU Book-i.MX 系列 - ML】手把手教你玩 AI - NXP i.MX8MQ 结合 Hail-8 AI 芯片带领你快速实现 AI 应用

以恩智浦 NXP 的 i.MX 8MQ 平台搭载 Hailo-8 AI 芯片 (26 TOPS) ,即可将 SoC 升级并实现成 AI 的终端产品!! 且一同贯彻“边缘运算(Edge Computing)”的理念,来达成更及时、精准的运算效益 !!

2024-06-19 11:42:49 123

原创 【S32K 进阶之旅】 将 EB 配置生成的 MCAL 代码集成到 S32DS 中

如何使用 S32DS 进行 AUTOSAR MCAL 工程的编译和调试,重点在于将 EB 配置生成的 MCAL 代码集成到 S32DS 中。

2024-06-17 15:22:18 1512

原创 基于复旦微FM33FG065A+OSRAM RGBi车载氛围灯方案

FM33FG065A芯片具有优秀的能耗管理功能,能够有效控制LED灯的功耗,实现节能环保的目的,在汽车电气系统中起到节能降耗的作用。

2024-06-17 15:17:04 893

原创 基于Nuvoton N9H30 咖啡机彩屏解决方案

新唐人机界面平台提供了简单易用的生态系统,具有完整的软硬件开发工具,提供令人惊叹和流畅的图 形用户界面。平台系列产品包括从入门级到高性能和高度集成的 MCU/MPU 产品

2024-06-11 16:38:30 967

原创 【ATU Book-i.MX8系列 - TFLite 进阶】 物件侦测应用

由于边缘运算(edge computing)需以轻量、快速、准确为主。故采用神经网路架构最轻量,且有一定识别能力、运行效率极佳的 MobileNet + SSD 架构本章节将介绍由轻量化网路架构 MobileNet与物件检测算法(Single Shot MultiBox Detector, SSD)组成之应用。

2024-06-11 16:32:12 981

原创 Nuvoton开发流程 应用篇 1 -- Single Wire UART

本篇主要介绍单线UART传输模式,目前新唐M0/M23/M4等内核产品,比如M031/M251/M460/M480等系列产品都支持单线UART传输模式,并且最高通信速率可以达到6Mbps。

2024-06-11 16:28:21 681

原创 【ATU Book - i.MX系列 - ML】手把手教你玩 AI NXP i.MX8M Plus 快速训练与实现 YOLOv5s 的疲劳驾驶监控系统 (DMS)

​本篇文章将以 Google 的Colab云端运算为训练平台,并搭配ultralytics的 YOLOv5 框架与Roboflow提供的资料库( DataBase ) 来快速建置一套 疲劳驾驶监控系统 (DMS) 来监视驾驶者是否呈现瞌睡状态 !! 并以 NXP i.MX8M Plus 作为最终的硬体呈现平台。

2024-06-11 16:25:13 970

原创 新唐Nuvoton N9H30彩屏咖啡机解决方案 探索智能咖啡新境界

​本文将重点介绍新唐的HMI彩屏方案技术。新唐科技拥有丰富的HMI方案,涵盖从最简单的Seg LED到TFT-LCD等多种彩屏尺寸。特别是新推出的MA35D1产品,支持高达1920*1080的清晰分辨率,满足了市场对更高清晰度显示屏的需求。

2024-06-11 15:55:52 803

原创 开发者必备利器:掌握Nuvoton开发应用中的单线UART技巧

新唐科技(Nuvoton)作为业界知名的微控制器制造商,其M0、M23和M4系列内核产品如M031、M251、M460和M480等,均已支持单线UART模式。这些产品不仅能够在单线模式下稳定工作,还能实现高达6Mbps的传输速率,满足了高速数据传输的需求。

2024-06-11 15:51:22 732

原创 【ATU Book - i.MX系列 - ML】手把手教你玩 AI: 快速在 NXP i.MX8M Plus 实现 YOLOv5s 疲劳驾驶监控系统 (DMS)

本篇文章将以 Google 的Colab云端运算为训练平台,并搭配ultralytics的 YOLOv5 框架与Roboflow提供的资料库( DataBase ) 来快速建置一套 疲劳驾驶监控系统 (DMS) 来监视驾驶者是否呈现瞌睡状态 !! 并以 NXP i.MX8M Plus 作为最终的硬体呈现平台

2024-06-11 15:48:42 916

原创 【ATU Book-i.MX8系列 - TFLite 进阶】 NXP i.MX8M Plus 实现高效 Mobilenet SSD 物体检测

NXP i.MX8M Plus处理器凭借其卓越的处理能力和高效的能源管理,已成为物体检测应用的理想选择之一。该处理器内建的高效AI加速器,即 NPU (Neural Processor Unit) 神经网路处理器,能迅速处理复杂的神经网路运算,使目标识别(Object Detection) 的应用能更加快速和精确。为在 边缘运算(Edge Computing) 设备上进行物体检测的首选方案。

2024-06-11 15:44:52 993

原创 SemiDrive X9H 平台 QT 静态编译

芯驰 X9H 芯片,搭载多个操作系统协同运行,系统实现了仪表、空调、中控、副驾多媒体的四屏驱动控制,在人车智能交互上可以通过显示屏、屏幕触摸控制、语音控制、物理按键控制、车身协议的完美融合,使汽车更智能。让车主及乘客有更好的体验乐趣。在仪表的应用中很可能会用到 QT,本文介绍 QT 静态编译的方法,这种方法优势在于不依赖外部库文件,方便移植。

2024-06-04 16:58:47 976

原创 SemiDrive X9H 平台 SPI 接口调试

芯驰 X9H 芯片,搭载多个操作系统协同运行,系统实现了仪表、空调、中控、副驾多媒体的四屏驱动控制,在人车智能交互上可以通过显示屏、屏幕触摸控制、语音控制、物理按键控制、车身协议的完美融合,使汽车更智能。让车主及乘客有更好的体验乐趣。在开发过程中 SPI 接口多数情况会使用到,调试时往往先使用测试程序进行先期测试,本文介绍如何使用 SPI 测试程序。

2024-06-04 16:54:46 841

原创 蓝牙 | 软件: Qualcomm BT Audio 问题分析(1)----ACAT Tools安装

大家在遇到Audio方面的问题,比如 无声、卡音、失真 等等的现象时该怎么办?该系列的博文就是帮助大家解决这块的难题。本篇将指导大家安装ACAT 工具, 该工具在后期分析Audio的问题上是需要用上的。

2024-05-08 15:44:09 833

原创 蓝牙 | 软件:Git管理高通的ChipCode项目

Git有优秀的项目管理,代码管理的能力,所以学一学git来管理代码也不错哦!同时MDE也支持与git联动,方便项目管理。

2024-05-08 15:38:08 489

原创 基于ST的STM32F407ZGT6嵌入式uCOS-III V3.08 操作系统工程实验

基于uCOS-III V3.08 ,新建2个任务,任务AppTaskStart 每500ms翻转3个LED灯,任务AppTaskStart创建任务AppTaskCOM,任务AppTaskCOM每间隔1s输出2个浮点数据的运算结果,打印到串口3.

2024-04-30 16:45:00 633

原创 Mini-LED显示AM和PM驱动方式的区别

T2是驱动个晶体管,与电压源联通并在一场(Frame)的时间内为LED提供稳定的电流。面板开发人员在开发时要结合产品的使用场景来选择驱动方式,也要选择能支持该功能的Tcon,而Novatek旗下的高端IC NT71873,NT71878系列能同时支持两种驱动模式,且有成熟的产品流通,属于市场的第一梯队。Mini-LED作为高端显示的一种背光驱动方案,从业者们一直探索更优化的背光驱动方案,在当前的产品中,主要存在两种驱动方案PM(被动矩阵式驱动)和AM(主动矩阵式驱动),后续将为大家简述一下其中的差异。

2024-04-29 16:30:00 1092

原创 LE Audio —— Boardcast 与 Unicast 对比

CIS(Connected Isochronous Streams)是一种用于在 Bluetooth LE 音频设备之间传输音频的机制。它允许多个音频流在不同的通道上进行同步传输,这些通道被组织在一个或多个逻辑音频流中。CIS 旨在提供低延迟和高质量的音频流传输,适用于需要高同步精度的音频应用,如立体声音频或多通道音频流。当两个设备通过 Unicast 模式进行通信时,它们可以利用 CIS 来确保音频流的同步和连续性。

2024-04-25 16:30:00 903

原创 MC33665 + MC33774 控制流程及 TPL3 帧结构介绍

当给定第一个设备地址 DADD = 000001 后,接下来的 TPL 帧中的 DADD 则修改为对应的 DADD = 000001,才可进行第一个设备其他寄存器的配置。例如启用端口 PORT0,在 MC33665 内部寄存器配置时将 SYS_PORT0_CFG 的 CADD 位设置为 001 (自定义菊花链编号, CADD ≠ 0),将 TPL 帧中的设备地址 DADD 修改为 0 ,此时数据在 TPL 端口 PORT0 上传输,只有一个设备时,即可配置链上的第一个 MC33774 设备寄存器。

2024-04-24 16:39:29 1103 1

原创 NCF29A1 高端阻抗匹配

Class E 高端 L-Front 匹配集成了额外的滤波器,提供了足够的谐波衰减,使 NCF29A1 与天线在比基频更高的频率下具有相当大的增益。② 测量 PCB 杂散电容 Cb_EXT 的值,如图 7 所示,网络分析仪探头 GND 连接到 PCB NCF29A1 的外露模垫,探头测试点连接到 PAOUT 引脚上。② 如果 H2, H3 或 H4 的谐波功率过高,则根据步骤 3 的描述,需要降低 C3 的值,增加 L2 的值,以满足谐振条件。在实际应用中,PCB 和网络元件的影响是不可避免的。

2024-04-23 16:30:00 1118

原创 TinyML快速入门_使用Zilltek 麦克风实现TinyML语音辨识

A5: /Documents/tflite-micro/tensorflow/lite/micro/build_def.bzl的def micro_copts():中加入 "-Wno-error=sign-compare",,执行时使用bazel build --copt="-Wno-error" evaluate来忽略比较错误便可正常执行。另外模型经过训练量化并转成.tflite之后,我们需要将模型转换成二进制,在model.cc中将自己的模型放进去,同时修改g_model_len以匹配模型大小。

2024-04-22 16:30:00 1010

原创 LE Audio —— Boardcast 与 Unicast 对比

CIS(Connected Isochronous Streams)是一种用于在 Bluetooth LE 音频设备之间传输音频的机制。它允许多个音频流在不同的通道上进行同步传输,这些通道被组织在一个或多个逻辑音频流中。CIS 旨在提供低延迟和高质量的音频流传输,适用于需要高同步精度的音频应用,如立体声音频或多通道音频流。当两个设备通过 Unicast 模式进行通信时,它们可以利用 CIS 来确保音频流的同步和连续性。

2024-04-21 16:30:00 825

原创 SemiDrive E3 SSDK boot_core 示例介绍

本文主要介绍 SemiDrive E3 SSDK boot_core 示例,示例主要实现的功能为 sf core boot 其他 core。使用的为 debug 模式调试,所有核的代码均使用 IAR 的 download and debug 功能下载在 ram 中运行。硬件设备:E3640 GATEWAY 开发板软件包:SSDK PTG3.0二、 代码下载及 demo 介绍。

2024-04-20 16:30:00 1103

原创 【S32K3 入门系列】- ADC 模块简介(上)

对于 S32K3 系列的初学者来说,S32K3 系列的参考手册阅读难度是让人望而却步的,本系列将对 S32K3 系列的外设进行逐一介绍,对参考手册一些要点进行解析。在内部,转换电路由转换时钟控制,该时钟是由模块时钟派生而来的。本文着重于 S32K3 系列 ADC 功能框图的介绍,对 ADC 从接受触发信号到如何转换进行了分析,后续将对 ADC 框图中的自检,三个触发信号分析,看门狗等继续进行介绍,如想继续了解可以继续阅读我的下篇博文 《【S32K3 入门系列】- ADC 模块简介(下)》。

2024-04-19 16:30:08 1218

原创 WIFI 7 高频硬体设计: 耦合器规格分析、选用指南和实际应用于高通802.11BE平台QCN9274/QCN6274的校准

现今的高频元件的发展,都朝向Module的方向发展,因此会把PA、LNA、Bias 以及Coupler整合到module里面,这部分不仅会让工程师开始讨论在PA输出的地方就使用Coupler去做功率检测跟实际从天线端检测的功率是否有差异会不会造成实际功率输出的误差增大,原因就是将Coupler设计在PA端就会忽略PA跟天线之间的一些被动元件,例如Diplexer、SAW filter、T/R switch…正确的耦合器设计能够实现更准确的功率传输和校准,从而确保WIFI系统的性能和功率准确度。

2024-04-12 19:00:00 949

原创 蓝牙 | 软件:传统蓝牙转auracast

最近几篇博文都在介绍auracast,也就是LE audio的广播功能,这是SIG的标准规范定义的协议,也是主推功能。因此有很多客户想要实现这个功能,但是呢,这是完完全全的LE audio协议,也就说要有LE audio的发射源才能实现完整的功能。完成宏定义之后,烧录进板子就可以了,但是这里最后把接收的功能也加上,这样任何一个设备都可做发射和接收的功能了。(auracast的前名也叫broadcast,所以看到现在的代码写broadcast,其实就是auracast,高通懒得改名而已)。

2024-04-11 19:00:00 674

原创 Nuvoton(新唐科技) NuTool教学系列 - PinConfigure篇

Nuvoton推出了许多的NuTool来帮助MCU快速的开发,今天要介绍的是其中一款PinConfigure tool,这套软体可以快速且简单的配置MCU的功能脚位,可以产生初始化的脚位配置程式代码,也可产生OrCAD与Protel的库,下面就让我们来一步一步的了解。1. 上Nuvoton官网下载PinConfigure tool程式,可于软体与工具->软体工具->通用工具内找到下载连结2. 打开软体后可看到软体的配置如下3. 配置脚位可以透过左上角的MTP暂存器直接将配置值输入,点选左下角的支援模组选择对

2024-04-10 19:00:00 271

原创 ST 意法半导体人工智能(AI)X-CUBE-AI 扩展包入门指南

X-CUBE-AI 通过提供在计算和存储器(RAM 和闪存)方面均已优化的自动神经网络库生成器扩展STM32CubeMX,该生成器将预训练的神经网络从最常用的 DL 框架(如 Caffe、Keras、Lasagne 和 ConvnetJS)转换为自动集成到最终用户项目的库。X-CUBE-AI 内核引擎,如图 1 和图 2 所示,是 X-CUBE-AI 扩展包的一部分,之后将在第 1.3 节 介绍。本文档的第二部分详细说明了 X-CUBE-AI 自动生成的 NN 库,以及嵌入式客户端推理 API。

2024-04-09 19:00:00 1531

原创 恩智浦Type-C CC 智慧保护元件使用经验分享

NX20P0477 同时也能用于水分检测, 前面提到由于设计为减低 Rp 电流来避免腐蚀, 在靠近接口处也会用比较器一直侦测 Icc_SRC 2.5 微安培的变化,当确定是 Type C 装置会主动切通逻辑开关导通, 而非 Type C 装置所引起的电流变化如腐蚀或是任何如水, 咖啡, 饮品等水气所造成的,都会让 IC FLAGB Pin 发出讯号已通知后端 MCU, PD 控制器有异常发生在做后续的安全控制处理,也因此, 大家 越来越重视其保护, 因为稍有不慎, 可能代价就是整个产品都一起烧毁,…

2024-04-08 19:00:00 578 1

原创 反射感测器简化光电开关设计

这些感测器的工作是无形的,没有运动部件或摩擦,几乎没有磨损。需要特别注意的是,与应用集成的感测器一样,要想取得理想的结果,必须考虑各种严峻挑战。这表明理想情况下,镜片应保持在这个范围内,最大距离不超过 2 mm,以最大限度减小偏移,从而提高之前提到的信噪比。为防止这种情况,设计感测器时应最大限度减少这些环境因素的影响,以保持正常的信噪比。当设计需要在有限空间配置多个按钮时,非常适合使用配有发射器的感测器,这种发射器可利用光照强度高,光输出强的垂直腔面发射激光器 ( VCSEL )。

2024-04-07 19:00:00 913

原创 AUTOSAR MCAL for SemiDrive E3 功能模块使用介绍:I2C

本文主要介绍如何使用芯驰提供的 AUTOSAR MCAL 软件包,开发 SemiDrive E3 的 I2C 模块,对 RTC 芯片进行读写操作。2. 硬件功能:I2C 使用 TX/RX 共享 FIFO,FIFO 的深度为 64,宽度为 8;根据 I2C 的通讯协议完成读写寄存器操作,从机地址和寄存器详情在芯片手册相关章节中可见。输出的 log 为Mcu读取到的指定寄存器的数据。读操作:通过 I2C 读寄存器,需要先写再读。匹配完成 Mcu\Port\I2C 模块的配置。图2 EB Mcu配置。

2024-04-06 19:00:00 1025

原创 WIFI|软体 茶凳浅谈 高通WIN QSDK - IPQ6000 与 88Q2112 的相遇

在qca808x_phy.c中有qca808x_phy_reg_read/write, 以及qca808x_phy_mmd_read/write之分,xxx_phy_mmd_read/write 走的就是Clause45的方式来存取phy上的register。因为无法全面使用CAUSE45的方式来存取phy,重新参考aquantia_phy.c 来实作marvell 88Q2112的driver,把最底层读写phy reg的函式,套用成 Clause45的格式。后面应该照着原来的设定。这样就不用全部重写。

2024-04-05 19:00:00 1256

原创 STM32串口 DMA 接收不定长数据的一种方法

首先,并非所有的STM32系列的MCU,也并非所有的USART/UART外设都支持Receiver timeout(RTO)特性,具体的支持情况,可以通过对应芯片的参考手册去查询。对于MCU的配置,可以通过USART_RTOR寄存器的RTOEN位使能接收超时功能,通过RTO位域配置超时时间,时间单位为传输一个数据位的时间(即波特率)。生成工程后,配置超时时间,使能接收超时功能,使能串口的DMA接收,处理串口的错误回调函数(接收超时后,HAL库中经HAL_UART_ErrorCallback()回调)。

2024-04-04 19:00:00 978

原创 ModusToolbox 实战入门- XMC GPIO应用篇

ModusToolbox™ 软体是一款功能强大的 MCU 开发工具。本文提供了 ModusToolbox™ 软体的使用指南和实战案例,希望对读者有所帮助。

2024-04-03 19:00:00 1192

原创 使用MCC制作DEMO系列--ADC数据采集及串口通信

简要描述:通过调节电位器,改变采样点电压,单击按键控制ADC采集数据,并将结果通过串口传输给PC端。以上工具相关下载及安装教程,可在官网搜索到,此处不再赘述。代码生成插件:MCC,全称MPLAB代码配置器。Demo:ADC数据采集及串口通信。开发工具:MPLAB X IDE。编译器:XC8 Compiler。单片机型号:PIC16F1619。

2024-03-28 09:15:00 714

原创 Intel DSA 技术应用

它采用了先进的数据处理算法和架构,能够实现高速数据流的处理和传输。数据流管理器则是负责管理和调度数据流加速器的软件组件,能够实现数据流的动态调度和优化,提升系统整体性能。总的来说,Intel DSA采用了硬件加速器的方式,通过高度并行化的设计和优化的存储结构,实现对大规模数据流的高效处理和加速。- DSA还通过其高效的存储单元和缓存,实现对数据的快速存取和传输,避免数据处理过程中的瓶颈,提高整体系统的性能和效率。- DSA的架构采用了高度并行化的设计,可以同时处理多个数据流,并实现快速的数据流传输和处理。

2024-03-27 09:15:00 558

原创 浅谈 LVDS 之 GMSL、FPD-LINK 数据传输总线

在汽车视频数据传输应用比较广泛的接口主要有 GMSL & FPD-Link,它们通过把发送端的多条并行数据(包括视频和控制、 语音等数据)转换成单条的串行数据,在接收端再把串行的数据转换恢复成显示面板或者 SoC 能接收的并行的视频格式和低速控制信号,从而保证 Camera 、Monitor 与处理器之间能够进行稳定的长距离数据传输。,在环视系统中,由于具有视频汇聚功能,通过 GMSL 四通道解串器,可以同时支持四个摄像头的传输,大大节约系统布线的困扰以及 FPGA 的设计成本。

2024-03-26 09:15:00 4231

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除