如何生成mif文件

mif文件就是存储器初始化文件,即memory initialization file,用来配置RAM或ROM中的数据。生成QuartusII11.0可用的mif文件,有如下几种方式:

方法1:利用Quartus自带的mif编辑器

优点:对于小容量RAM可以快速方便的完成mif文件的编辑工作,不需要第三方软件的编辑;

缺点:一旦数据量过大,一个一个的输入会使人崩溃;

使用方法:quartus中,【file/new】,选择Memory  Initialization file,弹出如下窗口:

Number of words:可寻址的存储单元数,对于8bit地址线,此处选择256

words size:存储单元宽度,8bit

然后点击“OK”.

  • 在表格中输入初始化数据;
  • 右键单击左侧地址值,可以修改地址和数据的显示格式;
  • 表中任一数据的地址=列值+行值,如图中蓝色单元的地址=24+4=28

对每个单元填写初始值之后,将文件保存即可。

 

方法2:利用mif软件来生成

无论使用什么编辑器,必须保证mif文件的格式如下:冒号左边是地址,右边是数据;分号结尾;

  DEPTH = 256;

  WIDTH = 8;

  ADDRESS_RADIX = HEX;

  DATA_RADIX = HEX;

  CONTENT

  BEGIN

  0000 : 0000;

  0001 : 0000;

  0002 : 0000;

  ……(此处省略一千字*.*)

  00FA : 00FF;

  00FB : 00FF;

  00FC : 00FF;

  00FD : 00FF;

  00FE : 00FF;

  00FF : 00FF;

   END;

这里推荐一款mif生成器:Mif_Maker2010.exe,可以百度下载;软件使用方法见《Mif Maker2010的使用方法》。

 

方法3:使用高级语言

  用C语言或者matlab语言等来生成,C语言生成代码如下:本代码生成一个正弦波的数据波形,保存在TestMif.mif中。

#include <stdio.h>
#include <math.h>

#define PI 3.141592
#define DEPTH 128     /*数据深度,即存储单元的个数*/
#define WIDTH 8       /*存储单元的宽度*/

int main(void)
{
    int i,temp;
    float s;

    FILE *fp;
    fp = fopen("TestMif.mif","w");   /*文件名随意,但扩展名必须为.mif*/
    if(NULL==fp)
        printf("Can not creat file!\r\n");
    else
    {
        printf("File created successfully!\n");
        /*
        *    生成文件头:注意不要忘了“;”
        */
        fprintf(fp,"DEPTH = %d;\n",DEPTH);
        fprintf(fp,"WIDTH = %d;\n",WIDTH);
        fprintf(fp,"ADDRESS_RADIX = HEX;\n");
        fprintf(fp,"DATA_RADIX = HEX;\n");
        fprintf(fp,"CONTENT\n");
        fprintf(fp,"BEGIN\n");

        /*
        * 以十六进制输出地址和数据
        */
        for(i=0;i<DEPTH;i++)
        {
             /*周期为128个点的正弦波*/ 
            s = sin(PI*i/64);   
            /*将-1~1之间的正弦波的值扩展到0-255之间*/ 
            temp = (int)((s+1)*255/2);
            /*以十六进制输出地址和数据*/
            fprintf(fp,"%x\t:\t%x;\n",i,temp);
        }//end for
        
        fprintf(fp,"END;\n");
        fclose(fp);
    }
}

验证生成的数据是否正确:用记事本打开生成的mif文件,同时用Quartus打开mif文件,内容如下:

能成功导入,且数据一致,说明生成正确。

信号发生器可以用一个存储器实现,根据不同的地址信号,输出不同的信号值即可。配置RAMROM中的数据可以用mif文件,mif文件是存储器初始化文件,即memory initialization file,生成mif文件可用QuartusII自带的mif编辑器,但是这种方法必须一个个的确定每个点的值,不适合大规模存储器。因此可以用高级语言编程生成mif文件。首先要了解mif文件的格式:

WIDTH=8;

DEPTH=1024;

ADDRESS_RADIX=HEX;

DATA_RADIX=HEX;

CONTENT BEGIN

0 : 80;

1 : 80;

2 : 81;

……

3FF : 7F;

END;

分析:

Width(宽度)为8位,代表输出的是8位二进制数(如果是无符号数,即表示范围0-255);

DEPTH(深度)为1024,代表存储器存有1024个数据,每个数据都是一个八位2进制数,都对应一个地址。

Content begin开始为存储器的存储内容,冒号前代表地址,冒号后为数据。

ADDRESS_RADIX=HEX; DATA_RADIX=HEX;表示地址格式和数据格式都是16进制(HEX),也可以设置为二进制,十进制等。

 然后编写MATLAB脚本就很简单了,我是如下编写的,文件makedata.m:

width=8;  %宽度是  
depth=1024;  %深度是1024  
index = linspace(0,2*pi,depth);                        
   
sin_value = sin(index);                                    
   
sin_value = sin_value * (2^(width-1) -1 )+2^(width-1);              
   
sin_value = fix(sin_value);  
   
sin_value =abs(sin_value);  
%===============================开始写mif文件===============================  
addr=0:depth-1;  
str_width=strcat('WIDTH=',num2str(width));  
str_depth=strcat('DEPTH=',num2str(depth));  
   
fid=fopen('c:\users\admin\desktop\fpga\fpga_exp5\data1.mif','w');  %打开或者新建mif,存放位置和文件名任意  
                              %如果只写文件名,则在当前目录下建立此文件  
fprintf(fid,str_width);  
fprintf(fid,';\n');  
fprintf(fid,str_depth);  
fprintf(fid,';\n\n');  
fprintf(fid,'ADDRESS_RADIX=HEX;\n');  %因为下面的数据输入我选的是16进制,  
   
fprintf(fid,'DATA_RADIX=HEX;\n\n');  
fprintf(fid,'CONTENT BEGIN\n');  
fprintf(fid,'\t%X : %X;\n',[addr;sin_value])  %开始写数据了  
fprintf(fid,'END;\n');  
fclose(fid);  


评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值