1.需要在Linux的环境下运行vscode,并且已安装完模块
Verilog-HDL/SystemVerilog/Bluespec SystemVerilog
2.在随机一个文件夹内建立两个以.v结尾的文件
3.第一个文件,我们用来进行模块仿真,也就是add.v
module add (a,b,c);
input a;
input b;
output c;
assign c=a+b;
endmodule
4.第二个文件,我们进行一个testbench的编写,博主的取名习惯不是很好,看看借鉴就行,
这里的代码如果不理解可以在下方评论,博主会给予解答。
`timescale 1ps/1ps
module add_1;
wire c;
reg b;
reg a;
initial begin
a=0;
b=0;
end
always #10 {a,b} = {a,b} +1;
initial begin
$dumpfile("addd.vcd");
$dumpvars(0,add_1);
end
add kjjjjjjj(a,b,c);
endmodule //add_1
5.接下来的步骤就比较重