在Linux环境下使用vscode实现Verilog与gtkwave的对接,最基础的加法器。

本文介绍了如何在Linux环境下利用Visual Studio Code(vscode)进行Verilog开发,结合gtkwave进行波形仿真。首先确保vscode已安装Verilog相关模块,然后创建.add.v和.testbench.v文件,分别编写加法器模块和测试代码。接着通过终端切换到文件目录,使用iverilog打包文件生成.vvp文件,再将其转换为.vcd文件供gtkwave读取。最后在gtkwave中加载.vcd文件,观察并分析加法器的波形输出。
摘要由CSDN通过智能技术生成

1.需要在Linux的环境下运行vscode,并且已安装完模块

Verilog-HDL/SystemVerilog/Bluespec SystemVerilog

 2.在随机一个文件夹内建立两个以.v结尾的文件

 3.第一个文件,我们用来进行模块仿真,也就是add.v

module add (a,b,c);
   input a;
   input b;
   output c;
    assign c=a+b;
endmodule 

4.第二个文件,我们进行一个testbench的编写,博主的取名习惯不是很好,看看借鉴就行,

这里的代码如果不理解可以在下方评论,博主会给予解答。

`timescale 1ps/1ps
module add_1; 
    
wire c;
reg b;
reg a;
initial begin
    a=0;
    b=0;
  end
always #10 {a,b} = {a,b} +1;

initial begin
    $dumpfile("addd.vcd");
    $dumpvars(0,add_1);
end
add kjjjjjjj(a,b,c);
endmodule //add_1

5.接下来的步骤就比较重

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值