自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1)
  • 资源 (3)
  • 收藏
  • 关注

原创 业界第一个真正意义上开源100 Gbps NIC Corundum介绍

来源:内容由本人微信公众号「网络交换FPGA」编译自「FCCM2020」,谢谢。第一次在csdn上发文章。FCCM2020在5月4日开始线上举行,对外免费。我们有幸聆听了其中一个有关100G开源NIC的介绍,我们对该文章进行了翻译,并对其中的开源代码进行了分析并恢复出基于VCU118的工程,通过实际测试感受到了第一款真正意义上的100G开源NIC的强大(很多100G的开源都是基于HLS等非HDL语言,尽管可以转化成HDL,但电路架构参考意义已经不大)。开源Verilog代码中每个.v文件都是所有的组合和

2020-05-15 15:07:00 4401 2

corundum-master.zip

Corundum是一个基于FPGA的开源原型平台,用于高达100 Gbps及更高的网络接口开发。Corundum平台包括一些用于实现实时,高线速操作的核心功能,包括:高性能数据路径,10G / 25G / 100G以太网MAC,PCI Express第3代,自定义PCIe DMA引擎以及本机高精确的IEEE 1588 PTP时间戳。一个关键功能是可扩展队列管理,它可以支持超过10,000个队列以及可扩展的传输调度程序,从而可以对包传输进行细粒度的硬件控制。结合多个网络接口,每个接口多个端口以及每个端口事件驱动的传输调度,这些功能可实现高级网络接口,体系结构和协议的开发。这些硬件功能的软件接口是Linux网络协议栈的高性能驱动程序。该平台还支持分散/聚集DMA,校验和卸载,接收流散列和接收端缩放。一个全面的,基于Python的开放源代码仿真框架促进了开发和调试,该框架包括整个系统,从驱动程序和PCI Express接口的仿真模型到以太网接口。通过实现微秒级时分多址(TDMA)硬件调度程序,以100 Gbps的线速执行TDMA调度,而没有CPU开销,证明了Corundum的强大功能和灵活性。

2020-05-15

矩阵连乘动态规划C语言

矩阵连乘动态规划C语言实现。简单的操作,使得大家更容易理解动态规划的思想,测试可用。

2013-06-21

C语言实现读取本机网卡地址和IP地址

C语言实现读取本机网卡地址和IP地址,可以帮助初学者快速的掌握基本的操作

2013-06-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除