二进制与格雷码的转换

格雷码的特点:相邻的两个码组之间仅有一位不同。普通二进制码与格雷码之间可以相互转换。下面将作简要的介绍。


1.二进制码转换成格雷码比较简单,一步到位
gray=(bin>>1)^bin;

2.格雷码转换成二进制码稍显复杂,需要递推
说明:将格雷码最高位赋值给二进制码最高位,即bin[N]=gray[N],
然后bin[i-1]=gray[i-1]^bin[i],i=1:N-1

 
verilog 代码如下
module Gray_to_Binary (
  input       [N-1:0] G,
  output reg  [N-1:0] B
);
 
parameter N = B_bit_Gray; // 设置二进制格雷码的位宽
 
integer i;
 
always @ (G)
begin
  B[N-1] = G[N-1];
  for (i=1; i<=N-1; i="i"+1)
    B[i-1] = G[i-1] ^ B[i];
end
  
endmodule
  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值