coding style 检查 错误/警告集

检查某个源文件的coding style

检查工具: checkpatch.pl

检查方法: checkpatch.pl --no-tree --no-signoff -f filename.c

ERROR

1. do not initialise statics to NULL

类似的还有 do not initialise statics to 0

原因: 将一个静态变量赋值为0 或 将一个指针赋值为NULL。其实这是没有必要的。

例如:

static struct uart_header *uart_h = NULL;
//应该改为:
static struct uart_header *uart_h;

2.  space prohibited after that open parenthesis '('   或者  space prohibited before that close parenthesis ')'

空格是比较容易忽视的一个错误, 应该在平时书写时就养成良好的习惯。

这些地方应该加空格:

双目运算符左右;

if , while, do等关键字与左大括号和左小括号之间;

右括号与左括号之间, 如: ) {

...

而这些地方不应该加空格:

左括号与括号内第一个字符之间

...

if ( old->tv_sec > new->tv_sec )
	return -1;
//应该改为
if (old->tv_sec > new->tv_sec)
	return -1;




  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
编码风格是Verilog设计中非常重要的方面,它直接影响着代码的可维护性和可读性。以下是关于Verilog编码风格的一些建议: 1. 缩进和对齐:合理的缩进和对齐可以增加代码的可读性。建议使用4个空格进行缩进,并对相关的代码进行对齐,以便于理解代码结构。 2. 命名规范:命名应该具有描述性,能够准确反映信号或模块的功能。遵循一致的命名规范,可以使代码更易于理解和维护。可以使用驼峰命名法或下划线命名法。 3. 注释:适当的注释可以帮助其他人理解你的代码。在代码的关键部分添加注释,解释代码的功能、用途和设计思路。 4. 模块化设计:将代码分成多个小模块,每个模块只负责特定的功能。这样做可以增加代码的可复用性和可维护性。 5. 参数化设计:使用参数化的方式设计模块,可以提高代码的灵活性和可扩展性。通过将一些常量参数化,可以在实例化模块时灵活地调整参数的值。 6. 模块接口:在设计模块时,定义清楚模块的输入和输出接口,并确保适当的信号命名和位宽匹配。 7. 错误处理:编写代码时要考虑到可能出现的错误情况,并采取适当的错误处理机制,例如添加状态机或发送错误提示信号。 8. 使用阻塞和非阻塞赋值:在赋值时要使用适当的赋值操作符,阻塞赋值(=)用于组合逻辑,非阻塞赋值(<=)用于时序逻辑。 9. 适当使用常量和枚举:对于不会改变的数值,应该使用常量来定义。对于有限的状态合或选项,可以使用枚举来增加代码的可读性。 10. 代码复用:适当的代码复用可以减少重复编写相似代码的工作量。可以使用模块、宏定义、函数等方式重新使用已有的代码块。 总的来说,编写Verilog代码时,需要注重代码的可读性、可维护性和灵活性,合理的编码风格将大大提高代码质量和工作效率。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值