自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(3)
  • 资源 (3)
  • 收藏
  • 关注

转载 RS232和RS485

典型的串行通讯标准是RS232和RS485,它们定义了电压,阻抗等,但不对软件协议给予定义,区别于RS232, RS485的特性包括:  1. RS-485的电气特性:逻辑“1”以两线间的电压差为+(2—6)V表示;逻辑“0”以两线间的电压差为-(2—6)V表示。接口信号电平比RS-232-C降低了,就不易损坏接口电路的芯片,且该电平与TTL电平兼容,可方便与TTL 电路连接。  2. R

2010-03-12 13:29:00 718

转载 I2C总线信号时序分析

I2C总线信号时序分析  在I2C总线通信的过程中,参与通信的双方互相之间所传输的信息种类归纳如下。   主控器向被控器发送的信息种类有:启动信号、停止信号、7位地址码、读/写控制位、10位地址码、数据字节、重启动信号、应答信号、时钟脉冲。   被控器向主控器发送的信息种类有:应答信号、数据字节、时钟低电平。   下面对I2C总线通信过程中出现的几种信号状态和时序进行分析。   ①总线空闲

2010-03-11 22:19:00 827

转载 周立功写给学单片机的年轻人(转)

作为过来人思前想后,我感到完全有责任将发自心底的感受传递给年轻一代,“一个企业家心灵深处渴望优秀人才的卓越追求和深层次的叹息、痛苦和感受”。您们千万不要等到毕业求职时才觉得自己能力太差,世界上从来就没有后悔药。当然,如果您现在看了我写的这篇文章可能还不算晚,因为您还有机会在以后的岁月里奋起直追——“亡羊补牢,尤未为晚”。对于现在刚进入大学的学生,您应该更加珍惜这美好的求学机会,因为眨眼之间几年就过

2010-03-04 10:33:00 296

Xilinx ISE14.4(ISE、Vivado、Vivado HLS)License

Xilinx ISE14.4(ISE、Vivado、Vivado HLS)License,包含"xilinx_ise.lic" 、"xilinx_vivado.lic"、"xilinx_hls.lic"等3个license。在ISE14.4上亲测可用,Xilinx ISE、Vivado、Vivado HLS等组件功能全部正常。

2015-03-07

secbulk.sys

secbulk.sys

2013-04-25

STC-ISP-V4.83-NOT-SETUP-CHINESE.EXE

STC-ISP-V4.83-NOT-SETUP-CHINESE.

2013-04-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除