FIFO

本文介绍了FIFO(First In First Out)的概念,它是一种先进先出的数据缓存器,特点是只能顺序写入和读取数据。FIFO在数据处理中扮演了重要角色,其简单易用但受限于顺序访问的特点使得它在特定场景下具有应用价值。
摘要由CSDN通过智能技术生成

在这里插入图片描述
一、先入先出队列(First Input First Output,FIFO)这是一种传统的按序执行方法,先进入的指令先完成并引退,跟着才执行第二条指令。
  1.什么是FIFO?
  FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据,顺序的读出数据,其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个指定的地址。

U2FsdGVkX18yPCHvrMCyxv/i5B9WC4JmRurHSVjYAvLtCL/pTkOT3dejaz5ff0+S
uK8msRLSWBcGJ8qQsJd5bvZO4OgwMlzrKjbeDG2upEdnO7ylKLpyAPYHYOIdzSAc
femOU5Uu6SosHnia4Af+2XSNEmp6HgIv3AEkmZPyi00+xtBeRCc1fUd0jxIWbQvF
JUkkmWD9XR+MbBI6eFuKXLpj0SmL+TIkFHn5JtuDYdDa5Xg7raA8y/RK0qHxckHH
511lehTbWpRJqUl2wF9xcQ8FYFhdeykIFeRfvCPKJde7Bg66jWXz5XqV7pbC+8Ha
Ye0aQSdXpnyxlqsZ9fsJsCOjpDZlBWrcR1IsgM9ZFzPoB9Kh1Knej10Vw2MsQsS7
mnoi5jJqVQrEDY7f/VBIABHw0OgZ9CaMRbh0mwf47nt2UdJSdc0IPcd86ZDZWAja
wrVhvnVP7CS6xN3bp

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值