学习日记 all_registers

innovus 命令 all_registers

all_registers
[help]
[-clock { clock_list }]
[-cells]
[-rise_clock { clock_list }]
[-fall_clock { clock_list }]
[-flops | -edge_triggered]
[-no_hierarchy]
[-latches | -level_sensitive]
[-macros]
[-master_slave]
[-data_pins]
[-clock_pins]
[-output_pins]
[-async_pins]
[-slave_clock_pins]
返回 design中 flip-flops、latches 或 sequential macros 的 instance 和 pin 的集合。( 如果 library cell 定义了 trigger 或 timing check arcs,则认为 cell 是 sequential 的。)
Note: -clock -rise_clock -fall_clock 只能在构建  timing graph 之后使用。
选项:
-async_pins :返回 async preset 或 clear pins 的集合;
-cells :返回 cells 的集合;为默认选项;
-clock { clock_list} :指定 clock 的名称或一个 clock ;
-clock_pins : 返回 register clock pins 的集合;
-data_pins : 返回 register input pins 的集合;
-fall_clock {clock_list} :指定 clock 的名称或 clocks 列表,返回 clock falling edge 的 register 集合;
-flops | - edge_triggered :返回边缘触发 sequential instances 两个选项返回值相同;
-latches | - level_sensitive :返回电平敏感的 sequential instances 的集合;两个选项都返回相同的集合;
-macros : 返回既不是 flip-flops 也不是 latch 的 sequential instances;
-master_slave : 返回 master-slave 寄存器单元的集合;
-no_hierarchy : 只在当前层次找;
-output_pins : 返回 register output pins;
-rise_clock  { clock_list} :指定 clock 的名称或 clocks 列表,返回 clock rising edge 的 register 集合;
- slave_clock_pins :返回主从寄存器的从 clock pins;
举例:
某个clock下 flops register 的数量:
sizeof_collection [all_registers -flops -clock clk]
某个clock rise edge 触发的clock pin集合:
all_registers -flops -rise_clock clk -clock_pins 
这个命令是一个Tcl脚本命令,用于进行物理综合前的设计优化。具体解释如下: - `ungroup -start_level 4 -all`: 将设计中的组合逻辑和时序逻辑分开,此处 `-start_level 4` 表示从第四层开始分组,`-all` 表示分组所有的单元。 - `set_cost_priority -delay`: 设置优化目标为减小时序路径上的延迟。 - `set_critical_range 0.2 $Design`: 设置时序约束的最小保留时间为0.2ns。 - `remove_unconnected_ports [get_cells -hier * ]`: 删除所有没有连接的端口,`[get_cells -hier * ]` 表示获取所有单元。 - `-blast group_path -name in2reg -from [all_inputs] -critical_range 1000.0`: 将所有输入到寄存器的路径组合在一起,命名为 `in2reg`,并设置时序约束为1000.0ns。 - `-blast group_path -name reg2out -to [all_outputs] -critical_range 1000.0`: 将所有从寄存器到输出的路径组合在一起,命名为 `reg2out`,并设置时序约束为1000.0ns。 - `-blast group_path -name in2out -from [all_inputs] -to [all_outputs] -critical_range 1000.0`: 将所有从输入到输出的路径组合在一起,命名为 `in2out`,并设置时序约束为1000.0ns。 - `-blast group_path -name reg2reg -from [all_registers] -to [all_registers] -critical_range 1000.0`: 将所有寄存器之间的路径组合在一起,命名为 `reg2reg`,并设置时序约束为1000.0ns。 这些命令将通过路径组合和时序约束的设置来优化设计,以满足时序要求并提高设计的性能。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值