自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(2)
  • 资源 (5)
  • 收藏
  • 关注

转载 mac下MAT内存分析工具安装

1、下载地址:https://www.eclipse.org/mat/downloads.php如下图所示选择mac版本 2、下载完毕后双击文件如果如下报错java.lang.IllegalStateException: The platform metadata area could not be written: /private/var/folders/rv/bhc3zs...

2018-08-21 14:47:40 19924 3

原创 scp 命令私钥下载

格式:  scp -i 私钥文件目录 -P 远程主机端口 登录用户名@远程主机ip:远程待下载文件  本地文件目录(文件存放目录)例如: scp -i ssk.logman.rsa -P 9812 user_man@187.12.33.12:/home/user_man/logs/errlog.tgz /Users/lishan/Documents...

2018-08-21 14:04:16 4952

Myeclipse2013安装 jrebel6.5方法及破解

Myeclipse2013安装jrebel6.5及破解方法,内附详细的安装说明以及自测过程中总结的注意事项。按照步骤操作即可,亲测可用。

2017-01-17

ssh+extjs 小项目

struts2+spring+hibernate整合(ssh+extjs整合)小项目“VIP消费查询系统”源码(源码+系统设计文档+建表语句+所有jar包)。该项目包含以下功能: 登录:本系统为商场VIP消费情况查询系统,具有一定的保密性。因此必须要有一定的权限才能使用本系统查询。要进行查询就必须先登录才能使用本系统。登录之后进入系统的主界面,在主界面可以选择用户想要执行的功能模块。 商品信息录入:在维护本系统时,要不断更新系统的数据。其中商品的信息是在不断增加的,当新进商品时,要保证商品信息的同步更新。在本模块可将新进商品的信息录入保存在服务器的数据库中。 ◆ 商品信息查询 有时候想了解某种商品的详细信息。在本模块可以查询所想知道的商品的详细信息。 VIP信息管理:本系统为VIP消费查询系统,因此对VIP的信息管理是核心。本模块是进行VIP信息登记的模块。 VIP购物登记:当VIP购物时,要对其所购物品进行登记,以便以后对其进行统计。 VIP信息查询:对VIP的信息查询是经常性的操作,在本模块可以查询指定VIP的详细信息,以了解某会员的购物情况。

2014-01-09

AppOffer_1.6.3

一个JAR包

2013-10-18

EDA课程设计--交通灯

这是大学时学习EDA 做的课程设计。十字路口交通灯设计!用的VHDL语言实现!

2013-07-01

毕业论文:基于变频器和组态软件的电梯控制系统

目 录 摘 要 I 目 录 III 第1章 绪论 1 1.1 课题背景及意义 1 1.1.1 电梯 1 1.1.2 研究意义 1 1.2 电梯的发展 2 1.2.1历史发展 2 1.2.2 现状分析 3 1.2.3 前景展望 4 1.3 PLC和变频器 5 1.3.1 PLC 5 1.3.2 变频器 5 1.4 本课题主要研究内容 6 第2章 电梯概况及基本设计方案 7 2.1 电梯概况 7 2.1.1电梯分类 7 2.1.2 电梯的组要组成部分 7 2.1.3 电梯的主要参数 8 2.1.4 电梯的安全措施及保护装置 8 2.2 设计方案的选择 9 2.2.1继电器控制 9 2.2.2 PLC控制 10 本章小结 12 第3章 系统硬件设计 13 3.1 PLC概况 13 3.1.1 PLC的基本组成 13 3.2变频器概况 14 3.2.1 变频器的分类 14 3.3 PLC的选型及I/O分配 16 3.3.1 PLC的选型 16 3.3.2 PLC的 I/O分配 17 3.4变频器的选择 19 3.4.1 通用变频器概述 19 3.4.2选型 19 3.5 电梯系统的整体控制 20 本章小结 21 第4章 软件设计 22 4.1 总流程控制 22 4.2 开关门控制 23 4.3 轿内外指示灯显示程序 25 4.4 选向控制 26 4.4.1 楼停信号 26 4.4.2 选向上升 27 4.4.3 选向下降 28 4.4.4 选向总体控制 29 4.5 上下行控制 29 4.6 楼层显示 31 4.7 报警 32 本章小结 32 第5章 组态仿真 33 5.1 组态王概述 33 5.2 设计步骤 33 5.2.1 创建工程和设计画面 34 5.2.2 新建设备 35 5.2.3 定义数据变量 36 5.2.4动画连接 37 5.2.5 应用程序命令及动画演示 38 本章小结 41 结 论 42 参考文献 43 致 谢 44 附录1 PLC助记符程序 45 附录2 组态王应用程序 51

2013-05-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除