FPGA——zhixin培训day_01_按键点灯

 

一、设计背景:

LED灯可作为各种控制的指示灯;按键也可作为控制的一小部分;因此需要练习灯的点亮和按键作为输入对某部件进行控制。

二、设计原理:

    查找开发板原理图:

LED灯由图可知为低电平点亮。

    按键由图可知为低电平为按键导通。

三、设计需求:

利用开发板上的LED灯和按键,完成按下灯亮,抬起灯灭。

四、思路与方案:

开发板四个按键和四个灯;

按键1用作复位;

按键2按下,灯2、3、4亮;

按键3按下,灯3、4亮;

按键4按下,灯4亮;

五、代码:

六、仿真验证:

编写完仿真代码后进行仿真:

与设计相符

七、下板验证:

    仿真结束后,进行分析与综合(CTRL+k);通过tcl脚本进行管脚分配,将tcl脚本改为自己定义的管脚名称对应的引脚;分配管脚。

最后下板,验证的结果与设计需求一致。

八、重要说明(福利):

Shift + end/home 全选一行;

Ctrl  + s保存;

Ctrl  + k分析与综合,编译;

仿真例化时候,双击想放入括号的信号,然后按住Ctrl,再按鼠标左键,拖至括号内,然后松开左键,即可。

Ctrl + l全编译;

 

Case语句和状态机case语句的区别:

状态机在语句中会有联系,case语句只是进行选择跳转。

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值