Xilinx ZYNQ 系统移植学习笔记 day2

day 2  Ubuntu安装vivado2017.04  附 设置全局变量

安装好Ubuntu之后,建立共享文件夹,在虚拟机设置中》选项》共享文件夹

建立好共享文件夹之后,把vivado2017.4复制进改文件夹中(最好是解压好之后复制进去,减少在Ubuntu中解压的步骤)。

在Ubuntu系统中路径:计算机/mnt/hgfs/winshare/ 下(或直接在桌面打开终端,输入 cd /mnt/hgfs/winshare  然后ls ),可看到_v2017的文件夹

此时,进入_v2017的文件夹进入Xilinx_Vivado_SDK_2017.4_1216_1文件夹,可以看到xsetup文件,在此打开终端(终端中进入此目录也行)切换到root权限(sudo su 后回车 输入你的密码 如果有密码),终端中输入./xsetup,执行该文件。

 

会弹出vivado的安装界面,此安装过程和在windows下的安装过程一样(安路径默认opt),此处省略。。。。

vivado安装完成后,记得加载lic文件,加载方式与windows相同。

到此安装过程已基本结束,还需注意的是,要加载一个vivado脚本到系统的环境变量中,这样打开vivado的时候就不用每次执行这个脚本了,否则在终端中打开vivado需要先执行settings64.sh 这个脚本 然后再输入vivado 启动vivado软件。

加载到环境变量的操作:

在终端中,切换root用户,输入gedit /etc/bash.bashrc  进入文本编辑状态(也可以用vim或其他方式)

在最后一行添加: source /opt/Xilinx/Vivado/2017.4/settings64.sh 

这样,以后开机后,直接在想要建立工程的地方直接打开终端输入vivado就可以打开vivado软件了。。。。

至此,day2结束,到这里,已经可以在Ubuntu中建立vivado工程了。

如果有不对的地方,请大家多多指教。。。。。

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
文档共60页。主要向初学者提供了Zynq开发的技术方向,针对不同应用给出了基本的参考文档;同时对Zynq双核AMP加载方式做了详细描述,对Zynq的fsbl启动流程做了简单介绍。章节如下: Zynq User Guide 1 介绍 4 2 快速上手指南 4 3 多核开发教程 4 3.1 AMP开发说明 6 3.1.1 快速生成amp工程 6 3.1.2 Generating Boot File 8 3.1.3 烧写程序 9 3.1.4 启动 10 3.1.5 调试 10 3.1.6 总结 11 3.2 SMP开发说明 11 4 ZC706启动代码分析 11 4.1 启动代码 12 4.2 FSBL流程(FOR AMP) 13 4.3 CPU0启动CPU1流程 14 5 程序在线烧写方案及流程 14 5.1 程序烧写需求 14 5.2 提出该需求的原因 14 5.3 程序烧写方案 14 5.3.1 BOOT.BIN组成 14 5.3.2 BOOT.BIN生成方法 15 5.4 FSBL.BIN和APP.BIN等的生成 15 5.5 制作*BIN及烧写的具体步骤 15 5.5.1 制作*bin流程 15 5.5.2 BOOT.bin制作过程 15 5.5.3 FSBL.bin和APP.bin等的生成过程 22 5.6 烧写BOOT.BIN步骤 26 5.6.1 通过SDK工具烧写步骤 26 5.6.2 通过上位机烧写软件的烧写步骤 29 5.6.3 通过串口调试助手烧写步骤 29 6 Zynq Qspi控制器 30 6.1 基本特性 30 6.2 I/O接口 31 6.3 QSPI控制器模式 33 6.3.1 I/O模式 33 6.3.2 线性地址(linear address)模式 33 6.3.3 传统(legacy)SPI模式 34 6.4 QSPI 例程 34 6.5 QSPI控制器支持访问32MB方法 35 6.5.1 Bank地址寄存器(Bank address register) 35 6.5.2 扩展地址模式(Extended address mode) 35 6.5.3 使用新写命令(New commands) 35 6.6 QSPI FLASH选择 35 6.7 作为BOOT器件考虑 35 7 µC/OS系统启动指南 36 7.1 INTRODUCTION 36 7.1.1 Software Requirements 36 7.1.2 Hardware Requirements 36 7.2 HARDWARE DESIGN 37 7.2.1 Step 1. Invoke the Vivado IDE and Create a project 37 7.2.2 Step 2. Create an IP Integrator Design 39 7.2.3 Step 3. Add and setup the Zynq processor system IP block 39 7.2.4 Step 4. Customize the Zynq block for our design 41 7.2.5 Step 5. Add the soft peripherals 45 7.2.6 Step 6. Generate HDL Design Files 47 7.2.7 Step 7. Synthesis, Implement and Generate Bitstream 48 7.3 SOFTWARE DESIGN 49 7.3.1 Step 1. Installation of the µC/OS Repository 49 7.3.2 Step 2. Generate the µC/OS BSP 50 7.3.3 Step 3. Build and Debug the Demonstration Project 54 7.3.4 Step 4. Program the AXI Timer 0 with the ucos_axitimer Driver 55 7.3.5 Step 5. Program the AXI Timer 1 with the Xilinx tmrctr Driver 58 7.4 CONCLUSION 59 8 Linux系统启动指南 59

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值