自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1)
  • 资源 (19)
  • 收藏
  • 关注

原创 解决虚拟机无法连接USB设备(U盘、网卡等等),网上各种方法均试过但无效

解决虚拟机无法连接USB设备(U盘、网卡等等),网上各种方法(例如重装虚拟机、重装VMware)均试过但无效

2022-05-13 12:01:15 16430 26

TC7101-LM35的Proteus仿真文件

Proteus 版本8.6! 运行、调节LM35,示数变化; 由于不用单片机不能进行较复杂的运算,只能采用LM35这种线性的温度传感器来测量; TC7107是集数码管驱动的ADC,能直径驱动四位数码管,需要将参考电压RV1调为1V,显示的就是正常的温度,调为2V则为正常温度的一半,依次类推; 总的结构:温度传感器LM35 -> ADC(TC7107) -> 数码管显示 注:由于Proteus中TC7107模型有问题,ACOM固定为2V,且ACOM必须与VIN-相连,所以仿真中加了一个2V的电压以抬高VIN+的电压,如果要做实物一定不能按照仿真图上的来做!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

2022-09-27

FPGA密码锁 EGO1开发板 Vivado工程 Verilog代码.rar包括设置三位密码、输入验证密码等(下载即可使用)

FPGA密码锁 EGO1开发板 Vivado工程 Verilog代码.rar 设置密码、输入密码 数码管显示(下载即可使用) FPGA密码锁 EGO1开发板 Vivado工程 Verilog代码.rar 设置密码、输入密码 数码管显示(下载即可使用) FPGA密码锁 EGO1开发板 Vivado工程 Verilog代码.rar 设置密码、输入密码 数码管显示(下载即可使用) FPGA密码锁 EGO1开发板 Vivado工程 Verilog代码.rar 设置密码、输入密码 数码管显示(下载即可使用) FPGA密码锁 EGO1开发板 Vivado工程 Verilog代码.rar 设置密码、输入密码 数码管显示(下载即可使用) FPGA密码锁 EGO1开发板 Vivado工程 Verilog代码.rar 设置密码、输入密码 数码管显示(下载即可使用) FPGA密码锁 EGO1开发板 Vivado工程 Verilog代码.rar 设置密码、输入密码 数码管显示(下载即可使用) FPGA密码锁 EGO1开发板 Vivado工程 Verilog代码.rar 设置密码、输入密码

2022-06-23

LabVIEW,控制步进电机转动(包括说明文档,LabVIEW程序,STM32代码等等)带演示视频

LabVIEW,控制步进电机转动(包括说明文档,LabVIEW程序,STM32代码等等)带演示视频;LabVIEW,控制步进电机转动(包括说明文档,LabVIEW程序,STM32代码等等)带演示视频;LabVIEW,控制步进电机转动(包括说明文档,LabVIEW程序,STM32代码等等)带演示视频;LabVIEW,控制步进电机转动(包括说明文档,LabVIEW程序,STM32代码等等)带演示视频;LabVIEW,控制步进电机转动(包括说明文档,LabVIEW程序,STM32代码等等)带演示视频;LabVIEW,控制步进电机转动(包括说明文档,LabVIEW程序,STM32代码等等)带演示视频;LabVIEW,控制步进电机转动(包括说明文档,LabVIEW程序,STM32代码等等)带演示视频;LabVIEW,控制步进电机转动(包括说明文档,LabVIEW程序,STM32代码等等)带演示视频;LabVIEW,控制步进电机转动(包括说明文档,LabVIEW程序,STM32代码等等)带演示视频;LabVIEW,控制步进电机转动(包括说明文档,LabVIEW程序,STM32代码等等)带演示视频;

2022-05-13

51单片机--电子万年历-LCD1602显示

51单片机--电子万年历-LCD1602显示--51单片机--电子万年历-LCD1602显示--51单片机--电子万年历-LCD1602显示--51单片机--电子万年历-LCD1602显示--51单片机--电子万年历-LCD1602显示--51单片机--电子万年历-LCD1602显示--51单片机--电子万年历-LCD1602显示--51单片机--电子万年历-LCD1602显示--51单片机--电子万年历-LCD1602显示--51单片机--电子万年历-LCD1602显示

2022-05-13

51单片机读取DS18B20温度传感器代码

51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码,51单片机--DS18B20温度传感器代码

2022-05-13

N32G457VEL7移植FreeRTOS代码

N32G457VEL7移植FreeRTOS代码 代码:https://gitee.com/sanfro/mcu.git

2022-03-17

arduino控制器应用,包括完整的仿真原理图和arduino代码(已完善,可直接使用)

arduino控制器应用,包括完整的仿真原理图和arduino代码(已完善,可直接使用); 两个按键,分别作为电机正反转的控制,开始仿真时电机静止,在静止状态下,按下正转按键,电机开始正转,若再次按下正转按键,则电机逐渐停止;电机反转也一样……使用中断和定时器实现对转速的计算,并将速度显示在四位数码管上,四位数码管中包含一位小数。电机速度通过一个可变电阻进行调节。

2022-01-14

labview+mpu6050 飞控姿态解算与3D显示.rar

labview+mpu6050飞控姿态解算+3D显示

2021-12-19

ISD1820 PCB+原理图文件可直接打板,已通过验证可直接打板.rar

ISD1820 PCB+原理图文件,已通过验证可直接打板,已通过验证可直接打板,已通过验证可直接打板,已通过验证可直接打板,已通过验证可直接打板,已通过验证可直接打板

2021-12-11

Multisim仿真150实例,涵盖模、数电、高频电子线路.rar

Multisim仿真150实例,涵盖模、数电、高频电子线路 Multisim仿真150实例,涵盖模、数电、高频电子线路 Multisim仿真150实例,涵盖模、数电、高频电子线路 Multisim仿真150实例,涵盖模、数电、高频电子线路

2021-12-11

FPGA频率计 EGO1开发板 Vivado工程 Verilog代码(下载即可使用).rar

FPGA频率计 EGO1开发板 Vivado工程 Verilog代码(下载即可使用)基于Xilinx FPGA FPGA频率计 EGO1开发板 Vivado工程 Verilog代码(下载即可使用)基于Xilinx FPGA FPGA频率计 EGO1开发板 Vivado工程 Verilog代码(下载即可使用)基于Xilinx FPGA FPGA频率计 EGO1开发板 Vivado工程 Verilog代码(下载即可使用)基于Xilinx FPGA FPGA频率计 EGO1开发板 Vivado工程 Verilog代码(下载即可使用)基于Xilinx FPGA FPGA频率计 EGO1开发板 Vivado工程 Verilog代码(下载即可使用)基于Xilinx FPGA FPGA频率计 EGO1开发板 Vivado工程 Verilog代码(下载即可使用)基于Xilinx FPGA FPGA频率计 EGO1开发板 Vivado工程 Verilog代码(下载即可使用)基于Xilinx FPGA FPGA频率计 EGO1开发板 Vivado工程 Verilog代码(下载即可使用)

2021-12-11

FPGA篮球比赛定时系统 EGO1开发板 Vivado工程 Verilog代码.rar

FPGA篮球比赛定时系统 EGO1开发板 Vivado工程 Verilog代码 基于Xilinx FPGA

2021-12-11

FPGA交通灯定时系统 EGO1开发板 Vivado工程 Verilog代码.rar

FPGA交通灯定时系统 EGO1开发板 Vivado工程 Verilog代码码 基于Xilinx FPGA FPGA交通灯定时系统 EGO1开发板 Vivado工程 Verilog代码码 基于Xilinx FPGA FPGA交通灯定时系统 EGO1开发板 Vivado工程 Verilog代码码 基于Xilinx FPGA FPGA交通灯定时系统 EGO1开发板 Vivado工程 Verilog代码码 基于Xilinx FPGA FPGA交通灯定时系统 EGO1开发板 Vivado工程 Verilog代码码 基于Xilinx FPGA FPGA交通灯定时系统 EGO1开发板 Vivado工程 Verilog代码码 基于Xilinx FPGA FPGA交通灯定时系统 EGO1开发板 Vivado工程 Verilog代码码 基于Xilinx FPGA FPGA交通灯定时系统 EGO1开发板 Vivado工程 Verilog代码码 基于Xilinx FPGA FPGA交通灯定时系统 EGO1开发板 Vivado工程 Verilog代码码 基于Xilinx FPGA

2021-12-11

FPGA出租车收费系统+EGO1开发板+Vivado工程+Verilog代码(下载即可使用).rar

FPGA出租车收费系统+EGO1开发板+Vivado工程+Verilog代码,基于Xilinx FPGA FPGA出租车收费系统+EGO1开发板+Vivado工程+Verilog代码,基于Xilinx FPGA FPGA出租车收费系统+EGO1开发板+Vivado工程+Verilog代码,基于Xilinx FPGA FPGA出租车收费系统+EGO1开发板+Vivado工程+Verilog代码,基于Xilinx FPGA FPGA出租车收费系统+EGO1开发板+Vivado工程+Verilog代码,基于Xilinx FPGA FPGA出租车收费系统+EGO1开发板+Vivado工程+Verilog代码,基于Xilinx FPGA FPGA出租车收费系统+EGO1开发板+Vivado工程+Verilog代码,基于Xilinx FPGA FPGA出租车收费系统+EGO1开发板+Vivado工程+Verilog代码,基于Xilinx FPGA FPGA出租车收费系统+EGO1开发板+Vivado工程+Verilog代码,基于Xilinx FPGA

2021-12-11

FPGA数码管显示Verilog HDL

fpga数码管显示

2021-04-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除