自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(2)
  • 资源 (5)
  • 收藏
  • 关注

原创 Ubuntu虚拟机加硬盘空间

虚拟机:VMware® Workstation 10.0.0 build-1295980系统:Ubuntu 14.04安装的时候设置的硬盘空间为50G,但是使用时发现硬盘空间不够了,要扩大空间,方法如下:1,虚拟机关机,然后在虚拟机设置->硬盘->实用工具(没关机的话,这里是灰色)->拓展->设置硬盘空间大小。2,虚拟机开机。 如果机器里剩余空间实...

2020-04-03 15:43:43 1362 2

原创 UBuntu14.04 虚拟机创建共享目录

虚拟机:VMware® Workstation 10.0.0 build-1295980系统:Ubuntu 14.041.使用VMware Tools安装失败。 vmware tools时编译vmhgfs模块出错:/tmp/vmware-config0/vmhgfs-only/filesystem.c: In function ‘HgfsInitFileSystem’:/tmp/v...

2020-04-02 18:14:40 518

LC-USB-68013+CY7C68013开发板+核心板.zip

CY7C68013 资料

2021-12-08

UPD70F3350GCA-UEU-AX-as-design-reference.pdf

V850 系列 32 位微控制器,Renesas Electronics 高性能覆盖 20 到 1000 MIPS,带单一指令集 广泛的产品系列,带低端、高端和 ASSP 系统 LSI,平稳转换至系统 LSI 开发 开发环境,带扩展程序和开发工具 V850 系列微控制器,Renesas Electronics V850ES/SG3 系列 32 位微控制器 V850ES/SG3 系列设备是 32 位单芯片微控制器,带 V850ES 处理器核心。 这款处理器核心提供 32 x 32 位有符号乘法、饱和操作说明和位操作说明。 32MHz V850ES 内核 MCU,具有高达 1MB 闪存 闪存错误纠正 84 x GPIO CRC 发生器 DMA 控制器 CAN 总线接口(仅限 3335、3336、3350、3351、3352、3353) 汽车 IEBus 接口 I2C 总线接口 12 通道 10 位 ADC 2 通道 8 位 DAC 省电模式:停止、待机 1、待机 2、次空转、次时钟、停止 应用:汽车娱乐系统 **RS 产品代码** 828-3963 UPD70F3333GC(A)-8EA-A 256KB 闪存,24KB RAM 828-3972 UPD70F3333GC(A)-UEU-AX 256KB 闪存,24KB RAM 828-3975 UPD70F3334GC(A)-8EA-A 384KB 闪存,32KB RAM 828-3988 UPD70F3336GC(A)-8EA-A 384KB 闪存,32KB RAM,CAN 828-3981 UPD70F3340GC(A)-8EA-A 512KB 闪存,40KB RAM 828-3985 UPD70F3341GC(A)-UEU-AX 640KB 闪存,48KB RAM 828-3994 UPD70F3343GC(A)-UEU-AX 1024KB 闪存,60KB RAM 828-3997 UPD70F3350GC(A)-UEU-AX 512KB 闪存,40KB RAM,CAN 828-3991 UPD70F3351GC(A)-UEU-AX 640KB 闪存,48KB RAM,CAN 828-4004 UPD70F3352GC(A)-UEU-AX 768KB 闪存,60KB RAM,CAN

2021-11-27

HSD103IPW1-A10 Preliminary Specification V1.0(1).pdf

HSD103IPW1-A10 Preliminary Specification , 10.25吋高清屏规格书。

2020-03-02

TEA5991 参考代码及相关文档资源

TEA5991 参考代码及相关文档资源, 有数据手册,使用指南等等

2018-01-23

示例代码TDA7541

TDA7541示例代码,供参考;

2017-07-22

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除